Mechanism of Copper Removal during CMP in Acidic H2O2 Slurry

22 downloads 0 Views 632KB Size Report
Chemical mechanical polishing of copper was performed using H2O2 as oxidizer ... The Cu removal rate reached a maximum at 1% H2O2 concentration and.
Journal of The Electrochemical Society, 151 共4兲 G230-G235 共2004兲

G230

0013-4651/2004/151共4兲/G230/6/$7.00 © The Electrochemical Society, Inc.

Mechanism of Copper Removal during CMP in Acidic H2 O2 Slurry T. Du,z D. Tamboli, V. Desai,* and S. Seal* Advanced Materials Processing and Analysis Center, University of Central Florida, Orlando, Florida 32816-2455, USA Chemical mechanical polishing of copper was performed using H2 O2 as oxidizer and alumina particles as abrasives. Electrochemical techniques were used to investigate the dissolution/passivation behavior of high-purity Cu disk under static and dynamic conditions at pH 4 with varying H2 O2 concentrations. Changes in the surface chemistry of the statically etched Cu disk were investigated using X-ray photoelectron spectroscopy. The Cu removal rate reached a maximum at 1% H2 O2 concentration and decreased with a further increase in H2 O2 concentration. The static etch rate showed the same trend. The etched surface morphology indicates that the removal of copper is primarily the result of electrochemical dissolution of copper at low H2 O2 concentrations. However, at increased H2 O2 concentrations, the copper oxidation rate increases, resulting in a change in the Cu removal mechanism to mechanical abrasion of the oxidized surface. © 2004 The Electrochemical Society. 关DOI: 10.1149/1.1648029兴 All rights reserved. Manuscript submitted January 2, 2003; revised manuscript received October 18, 2003. Available electronically February 20, 2004.

Copper is currently used as an interconnect material in integrated circuits 共ICs兲 due to its low resistivity and high electromigration resistance. Integration of copper into an IC manufacturing process is implemented by using the dual damascene technique,1,2 where the chemical mechanical polishing 共CMP兲 technique has been applied to remove the overburden material and to planarize the wafer surface. The planarization capability is an important advantage of the CMP process. It prevents issues associated with the increased number of interconnect layers in ICs, where the topography of device structures varies significantly as additional layers are stacked on top of one another. CMP of copper has been investigated extensively in recent years and can be performed in acidic, neutral, or alkaline media.3-13 Generally, an oxidizer is used to etch copper in all the copper CMP processes. Steigerwald et al.14-18 investigated the CMP of copper using ammonia as the copper etchant because ammonia can react with copper in the presence of oxidizer and form soluble copperamine complexes. The drawback of the alkaline copper CMP process is the low polish selectivity of copper to SiO2 , which results in reduced oxide thickness during overpolishing. They concluded that copper is removed mechanically followed by the dissolution of the abraded copper particles in ammonia. Hu et al.1 proposed a different copper CMP removal mechanism based on the cyclic sequence of copper passivation followed by the mechanical removal of the passive layer due to abrasion. Stavreva et al.10,11 investigated the copper CMP process in acidic media by using a Rodel copper slurry 共XJFW 7355兲 diluted with 30% H2 O2 共3:1兲. With increasing H2 O2 concentration, the wet etch rate and also the polish rate of Cu decreased. Luo et al.4 studied copper CMP in acidic media using Fe(NO3 ) 3 as oxidizer and benzotriazole as inhibitor. They concluded that the copper CMP process is primarily mechanical in nature and that direct chemical etching contributes minimally to the copper polish rate. They further concluded that the slurry chemicals alter the mechanical properties of the copper surface, which explains the different copper polish rates in these media. Copper surface chemistry plays an important role in the CMP process. X-ray photoelectron spectroscopy 共XPS兲 can be utilized to identify surface compounds formed on the metal surface in the presence of CMP slurry chemicals. Detailed investigations on various aspects of chemical planarization of copper have been reported recently.19,20 However, the mechanism of Cu removal during CMP is not yet well understood, particularly in acidic media. The present investigation is aimed at studying the CMP characteristics of copper

* Electrochemical Society Active Member. z

E-mail: [email protected]

in various dynamic and static polishing conditions in acidic H2 O2 slurry. Electrochemical techniques such as potentiodynamic polarization, open-circuit potential 共OCP兲, and electrochemical impedance spectroscopy 共EIS兲 were used to investigate the mechanism of copper dissolution. A removal mechanism based on electrochemical measurements and XPS data is proposed herein. Experimental Static dissolution study.—Copper disks of 99.95% purity and 1 in. diam were cleaned thoroughly in acetone, isopropanol, and distilled water. The samples were dried and weighed in a microbalance 共Sartorius A210 P, ⫾0.1 mg兲. The disks were then immersed in pH 4 buffer solutions at different H2 O2 concentrations for certain various times. The static etch rates were calculated by measuring the weight loss of the disk, and the surface morphology was examined using scanning electron microscopy 共SEM兲. CMP.—The polishing experiments were carried out using a Buehler-Minimet 1000 polisher with perforated, nonwoven Buehler Polimet pads. After about 15 min of initial pad breakout time, the pad gave stable, reproducible results without the need for conditioning. MSW 2000 alumina polishing slurry was used for the polishing experiments. The abrasive concentration in the slurries was maintained at 4.6 wt %. The pH was adjusted using American Chemical Society standard buffer solutions 共Fisher Scientific兲. All chemicals used were of analytical reagent or guaranteed reagent grade. The linear velocity of polishing was maintained at 0.2 m/s 共30 rpm兲. The applied downward pressure was 7.63 psi. The polishing time for each run was 5 min. The removal rates reported in this study are an average of five polishing runs. Electrochemical measurements.—Static potentiodynamic polarization and EIS tests were performed using an EG&G Princeton Applied Research model 273 potentiostat/galvanostat and a Zahner impedance measurement system IM6. A standard three-electrode corrosion flat cell was used. A platinum foil was used as the counter electrode and a saturated calomel electrode 共SCE兲 was used as the reference electrode. The reference electrode was inserted into the corrosion cell through a Luggin bridge whose tip was 1-2 mm from the working electrode 共copper disk兲. The potentiodynamic polarization sweep of the working electrode was performed from ⫺1 to ⫹2 V at a sweep rate of 1 mV/s. The EIS measurements were made by superimposing an ac signal with an amplitude of 10 mV at frequencies ranging from 1 MHz to 1 mHz. In situ electrochemical measurements during polishing were performed using the Buehler-Minimet 1000 polisher and a copper disk with a cross-sectional area of 4.9 cm2. A slurry buildup of at least 10 mm was maintained above the pad to keep a proper electrical con-

Journal of The Electrochemical Society, 151 共4兲 G230-G235 共2004兲

Figure 1. Effect of H2 O2 concentration on removal rates and static etch rates of copper.

tact between the working electrode and the reference electrode. XPS studies.—Changes in the surface chemistry of the Cu samples as a function of H2 O2 concentration were studied using an XPS system 共5400 PHI ESCA兲. XPS studies were conducted on cleaned Cu samples after dipping them into the solution for different times. The sample was transferred to the vacuum chamber quickly with care to avoid further oxidation. The base pressure during analysis was 10⫺9 Torr, and Mg K␣ X-radiation 共1253.6 eV兲 at a power of 350 W was used. Both the survey and the high-resolution narrow spectra were recorded with an electron pass energy of 44.75 and 35.75 eV, respectively, to achieve the maximum spectral resolution. Any charging shift produced by the sample was carefully removed by using a binding energy 共BE兲 scale referred to C 共1s兲 BE of the hydrocarbon part of the adventitious carbon line at 284.6 eV.21 Nonlinear least-squares curve fitting was performed using a Gaussian/ Lorentzian peak shape after the background removal. Results and Discussion Figure 1 shows the CMP removal rates and static etch rates of copper in H2 O2 solution at pH 4. The copper CMP removal rate increases with an increase in H2 O2 concentration and reaches a maximum of 180 nm/min in 1% H2 O2 . With further increase in H2 O2 concentration, the removal rate decreases drastically and seems to level off with further increase in peroxide concentration beyond 5%. The static etch rate follows a similar trend, but with an order of magnitude lower rate compared to the dynamic removal rates. The decrease in removal rate and static etch rate with the increase in H2 O2 concentration beyond 1% is believed to be due to the stronger passivation of the copper surface22,23 and the higher hardness of copper oxide compared to pure copper.24 Figure 2 shows the potentiodynamic polarization curves for copper in solutions containing different concentrations of H2 O2 . Copper does not show passive metal behavior, and no obvious passivation region can be observed in these curves. Figure 3 shows the corrosion current density and the electrochemical potentials measured at different peroxide concentrations. The corrosion current density of copper in H2 O2 solutions follows a trend consistent with that observed for the removal and etch rates shown in Fig. 1. The corrosion potential moves to a positive direction with an increase in H2 O2 concentration. This indicates that the anodic reaction of copper is inhibited in the presence of H2O2. This is supported by the observed increase in the anodic Tafel slope values with the addition of H2 O2 oxidizer. It is believed that the formation of a passive oxide layer increases the barrier to the transport of ionic and electronic currents, although the passivation layer is weak. However, the ca-

G231

Figure 2. Potentiodynamic polarization behavior of copper in solutions containing H2 O2 at different concentrations: 共1兲 no H2 O2 , 共2兲 1% H2 O2 , 共3兲 5% H2 O2 , and 共4兲 10% H2 O2 .

thodic reaction was highly accelerated with the addition of H2 O2 . The cathodic reaction in slightly acidic solution without H2 O2 may be written as O2 ⫹ 2H2 O ⫹ 4e⫺ ↔ 4 OH⫺

关1兴

In the presence of H2 O2 , the cathodic reaction changes to H2 O2 ⫹ 2e⫺ ↔ 2 OH⫺ or H2 O2 ⫹ e⫺ ↔ OH* ⫹ OH⫺

关2兴

Here OH* is the radical. The oxidation of Cu in acidic solution usually takes place with a simultaneous cathodic reaction as shown in Reactions 1 and 2. In the solution containing H2 O2 , the oxidation rate of Cu is increased due to the simultaneous increase of the cathodic reaction rate. Figure 4 shows the etched surface morphology for copper immersed in a pH 4 buffer solution without the addition of H2 O2 , and with 1% and 10% H2 O2 for 1 h. In the absence of any oxidizer, the surface morphology shows the characteristic of the as-polished sample, where the polishing lines are clearly visible. For the sample immersed in the solution with 1% H2 O2 , the surface morphology shows strong etching characteristics, indicating strong chemical reaction between copper and H2 O2 . However, for the 10%

Figure 3. Effect of H2 O2 strength on the corrosion potential and corrosion current density of copper.

G232

Journal of The Electrochemical Society, 151 共4兲 G230-G235 共2004兲

Figure 5. In situ OCP of copper as a function of time: 共1兲 no H2 O2 , 共2兲 1% H2 O2 , 共3兲 5% H2 O2 , and 共4兲 10% H2 O2 .

duplex structure made up of Cu(OH) 2 /CuO followed by a Cu2 O layer, depending on the electrode potential vs. standard hydrogen electrode 共SHE兲, as given below25 2Cu ⫹ H2 O → Cu2 O ⫹ 2H⫹ ⫹ 2e⫺ ⫹

Cu2 O ⫹ H2 O → 2CuO ⫹ 2H ⫹ 2e



共 E o ⫽ 0.47 V/SHE兲

关3兴

共 E ⫽ 0.67 V/SHE兲 关4兴 o

Cu2 O ⫹ 3H2 O → 2Cu共 OH兲 2 ⫹ 2H⫹ ⫹ 2e⫺ 共 E o ⫽ 0.73 V/SHE兲

Figure 4. SEM micrographs of the etched surface morphology of copper immersed in pH 4 buffer solutions for 1 h: 共a兲 no H2 O2 , 共b兲 1% H2 O2 , and 共c兲 10% H2 O2 .

H2 O2 , a thick oxide film covers the surface, and some cracks are observed, which could be due to the accumulated internal stress as the oxide film thickens. Copper reacts in oxygen-containing environments to form a weak passivation layer. This passivation layer of copper oxide has a

关5兴

Figure 5 shows the in situ OCP measurement of copper as a function of time during polishing with and without H2 O2 at pH 4. In each case, the OCP was recorded for a total span of 10 min with polishing stopped approximately 2 min after the commencement of the recording and restarted 4 min before the completion of recording. The symmetric shape of the potential-time curve is clearly indicative of the removal, growth, and removal again of the passive oxide film. For copper polishing in the absence of H2 O2 oxidizer, the potential jump is very small, which indicates formation of a very thin porous layer. For copper polished in 1% H2 O2 slurry, the OCP increased dramatically when polishing was stopped. The sudden increase in the potential at the moment the polishing was stopped is due to the growth of the oxide film. A sudden decrease in the OCP when the polishing restarted is due to the quick removal of the oxide film formed on the copper surface. Furthermore, a relatively larger OCP fluctuation was observed during polishing in 1% H2 O2 . This clearly indicates a competitive process between the film formation and subsequent removal. For copper polishing in the slurry with 5% H2 O2 , the potential jump decreased dramatically, which implies the passive film formation rate to be almost comparable to the film removal rate. For copper polishing in the slurry with 10% H2 O2 , the potential jump is even smaller. This indicates that the film formation rate is higher than the rate of removal; therefore, a thin oxide film on the copper surface is always present during polishing. Thus, the copper removal mechanism at this H2 O2 concentration is probably due to the mechanical removal of the oxide formed on the copper surface. To confirm that different oxides can be formed on the copper surface at different anodic potentials, cyclic voltammetric measurements were carried out. The potential was swept linearly in the positive direction at a scan rate of 30 mV/s from ⫺0.5 V 共SCE兲 to 0.6 V 共SCE兲 and then reversed to form one complete cycle. Figure 6 shows the linear sweep voltammograms for copper in pH 4 buffer solutions without oxidizer. Inspection of the curve reveals that the anodic scan exhibits an active/passive transition prior to a certain critical breakdown potential. The active dissolution region involves

Journal of The Electrochemical Society, 151 共4兲 G230-G235 共2004兲

G233

Figure 6. The linear sweep voltammograms for copper in pH 4 buffer solution.

two peaks. The first peak, which appeared at a potential of 0.05 V 共SCE兲, was due to Cu2 O formation. The second peak, which appeared at 0.25 V 共SCE兲, was due to the formation of CuO. During the reverse scan, the cathodic reduction of these two peaks was also observed. It seems that the passive layer is poorly protective, because the passive current density is not very small 共2 mA/cm2兲. When a critical potential E b is exceeded, an abrupt current jump is observed, indicating breakdown of the CuO passive film. The current corresponds largely to pitting corrosion of copper for E ⬎ E b , and the current continues to be high even after the potential sweep is reversed, indicating that the reformation of CuO is slow and gradual. EIS is a very effective technique, which can help in analyzing various steps involved in an electrochemical reaction by measuring the impedance system response to a small ac potential signal in a wide frequency range.26,27 Therefore, EIS is used here to investigate the film formation dynamics of copper under different conditions. The electrochemical impedance behavior is very different for copper immersed in solutions with different H2 O2 strengths, as shown in Fig. 7. The complex nature of these Nyquist plots clearly indicates that the interaction of the copper surface with hydrogen peroxide is a complex, multistep process. The EIS spectra for copper in H2 O2 solutions consist of at least two capacitive impedance loops.28,29 The capacitive semicircle at high frequency is due to a Cu modulation which is related to the thin oxide films formed on copper. The capacitive semicircle appearing at low frequency is probably due to a mass-transfer process through the double layer structure at the oxide/electrolyte interface. The high-frequency loop is more pronounced at higher peroxide concentrations. This again indicates that

Figure 8. Effect of exposure time on electrochemical impedance of copper exposed to 共a兲 1% and 共b兲 10% H2 O2 in pH 4 buffers.

Figure 7. Nyquist impedance plots of copper taken after 15 min of exposure in pH 4 buffer solutions containing different H2 O2 concentrations.

the oxide film formed in high H2 O2 concentration solutions is thicker. For the 1% H2 O2 concentration, an inductive loop was observed at low frequency. This inductive loop is probably due to the adsorbed species 共intermediates兲 on the copper surface. The coverage of this adsorbed species on copper electrode is relatively low. Figure 8 shows the electrochemical impedance spectra for copper as a function of immersion time in 1% and 10% hydrogen peroxide solutions. For 1% H2 O2 , very little change in the electrochemical

G234

Journal of The Electrochemical Society, 151 共4兲 G230-G235 共2004兲

Figure 9. The deconvoluted Cu( 2 p3/2) XPS spectra of copper exposed to 1% H2 O2 for 15 min.

impedance behavior of copper is observed with the immersion time. This indicates that the oxide formation rate is in equilibrium with the oxide dissolution rate, and that the film thickness does not increase with immersion time. However, for 10% H2 O2 , the highfrequency capacitive loop monotonously increases with exposure time. This suggests growth of oxide film with immersion time. Figure 9 shows the deconvoluted Cu ( 2 p3/2) XPS spectra for copper exposed to 1% H2 O2 for 15 min. It indicates a prominent Cu ( 2 p3/2) peak at about 932.5 eV and a broad shoulder at high binding energies. The deconvolution of the XPS spectra reveals two additional peaks at 933.20 and at 934.62 eV. The binding energy of the metallic Cu ( 2 p3/2) electrons is 932.5 eV, whereas in CuO and Cu(OH) 2 , the binding energies are about 933.5 ⫾ 0.2 and 934.5 ⫾ 0.2 eV, respectively.30-32 For cuprous oxide, the XPS spectra are identical to those of copper metal, within ⫾0.4 eV. However, the X-ray induced Auger spectra of Cu0 and cuprous oxide (Cu L3 M45M45) are significantly different and allow a quantitative characterization of oxide or metal.33 To differentiate between Cu and Cu2 O, a Cu 共LMM兲 Auger spectrum was recorded. Figure 10 shows the X-ray induced Auger L3 M45M45 peaks observed for copper exposed to 1% H2 O2 in comparison with a sample not exposed to H2 O2 . Two peaks are observed; the peak at 335 eV is due to the band characteristic of Cu, and the peak at 337 eV is due to the band characteristic of Cu2 O. This indicates a triple oxide structure of Cu/Cu2 O/CuO/Cu(OH) 2 for copper in 1% H2 O2 . Figure 11 shows the XPS spectra for copper immersed in 10% H2 O2 solution for 15 min and for Cu not exposed to H2 O2 . No copper metal peak is observed at 932.5 eV. For CuO, the principal Cu 2p3/2 peak maxi-

Figure 11. Cu( 2 p3/2) XPS spectrum for 共a兲 copper exposed to 10% H2 O2 at pH 4 for 15 min compared to 共b兲 copper unexposed to H2 O2 .

mum is shifted 1.5 ⫾ 0.2 eV compared to that of Cu2 O, but this peak is rather poorly defined with respect to the Cu2 O peak, because of significant broadening probably associated with multiple splitting. The Cu 2p3/2 peak for Cu(OH) 2 is shifted 2.6 ⫾ 0.2 eV above that for Cu2 O. Cupric compounds are also characterized in general by the two strong shake-up peaks located at 6 and 8 eV higher than those for the principal Cu 2p3/2 . 34 The main copper peak observed is shifted to 933.2 eV, as shown in Fig. 11 for copper exposed to 10% H2 O2 . There is also a significant broadening of the peak with a full width at half-maximum of about 2.6 eV. The shift in the binding energy as well as the peak broadening indicates the presence of CuO or probably Cu(OH) 2 . A strong shake-up satellite was observed at 942.2 eV. This shake-up satellite is typically associated with copper in the bivalent BE state. The absence of any metallic copper peak indicates that the oxide film grows very fast to a thickness larger than the mean free path of electrons in copper in 10% H2 O2 . Conclusions The copper CMP removal rate reaches a maximum at 1% H2 O2 concentration and then decreases with a further increase in H2 O2 concentration in acidic slurries 共pH 4兲. The static etch rate shows the same trend. Both the electrochemical and etched surface morphology measurements indicate that when the H2 O2 concentration is below 1%, the CMP mechanism is dominated by electrochemical dissolution. The in situ OCP, EIS, and XPS results indicate that the thickness of the passive film increases with time in higher H2 O2 strength solutions. Based on the results from XPS and electrochemical study, we propose the following mechanism for copper CMP in H2 O2 -containing acidic slurries. 1. When the H2 O2 concentration is low 共e.g., about 1%兲, the removal of copper during CMP is controlled by electrochemical dissolution. 2. When the H2 O2 concentration is high 共e.g., about 10%兲, the formation of copper oxide is fast enough, and copper CMP is controlled by mechanical removal of copper oxide and its subsequent dissolution. 3. When the H2 O2 strength is medium, both mechanisms operate and compete. Acknowledgments

Figure 10. X-ray induced Auger LMM peak observed for 共a兲 copper exposed to 1% H2 O2 at pH 4 for 15 min compared to 共b兲 copper unexposed to H2 O2 .

This research has been supported by a grant from Lucent Technologies Bell Labs, in Orlando, FL, and the Florida High Tech Corridor Council. The University of Central Florida assisted in meeting the publication costs of this article.

Journal of The Electrochemical Society, 151 共4兲 G230-G235 共2004兲 References 1. C. K. Hu, B. Luther, F. B. Kaufman, J. Humnel, C. Uzoh, and D. J. Pearson, Thin Solid Films, 262, 84 共1995兲. 2. S. Lakshminarayanan, J. M. Steigerward, D. T. Price, M. Bourgeois, T. P. Chow, R. J. Gutmamn, and S. P. Murarka, IEEE Electron Device Lett., 15, 307 共1994兲. 3. V. Desai, T. Du, V. S. Chathapuram, D. Tamboli and K. Sundaram, in Chemical Mechanical Planarization (CMP V) 201st, S. Seal, R. L. Opila, C. Reidsema Simpson, K. Sundaram, H. Huff, and I. I. Suni, Editors, PV 2002-01, p. 148, The Electrochemical Society Proceedings Series, Pennington, NJ 共2002兲. 4. Q. Luo, D. R. Campbell, and S. V. Babu, Langmuir, 12, 3563 共1996兲. 5. Q. Luo, D. R. Campbell, and S. V. Babu, Thin Solid Films, 311, 177 共1997兲. 6. V. Nguyen, H. VanKranenburg, and P. Woerlee, Microelectron. Eng., 50, 403 共2000兲. 7. Q. Luo and S. V. Babu, J. Electrochem. Soc., 147, 4639 共2000兲. 8. P. Wrschka, J. Hernandez, G. S. Oehrlein, and J. King, J. Electrochem. Soc., 147, 706 共2000兲. 9. Q. Luo, R. A. Mackay, and S. V. Babu, Chem. Mater., 9, 2101 共1997兲. 10. Z. Stavreva, D. Zeidler, M. Plotner, and K. Drescher, Appl. Surf. Sci., 91, 192 共1995兲. 11. D. Zeidler, Z. Stavreva, M. Plotner, and K. Drescher, Microelectron. Eng., 33, 259 共1997兲. 12. H. Hirabayashi, M. Higuchi, M. Kinoshita, H. Kaneko, N. Hagasaka, K. Mase, and J. Oshima, in Proceedings of the 1st International VMIC Specialty Conference on CMP Planarization, p. 119 共Feb 1996兲. 13. R. J. Gutmann, J. M. Steigerwald, L. You, D. T. Price, J. Neirynck, D. J. Duquette, and S. P. Murarka, Thin Solid Films, 270, 596 共1995兲. 14. J. M. Steigerwald, R. Zirpoli, S. P. Murarka, D. T. Price, and R. J. Gutmamn, J. Electrochem. Soc., 141, 2842 共1994兲. 15. J. M. Steigerwald, S. P. Murarka, R. J. Gutmamn, and D. J. Duquette, J. Electrochem. Soc., 141, 3512 共1994兲. 16. J. M. Steigerwald, D. J. Duquette, S. P. Murarka, and R. J. Gutmamn, J. Electrochem. Soc., 142, 2379 共1995兲.

G235

17. J. M. Steigerwald, S. P. Murarka, J. Ho, R. J. Gutmamn, and D. J. Duquette, J. Vac. Sci. Technol. B, 13, 2215 共1995兲. 18. J. M. Steigerwald, S. P. Murarka, and D. J. Duquette, Mater. Chem. Phys., 41, 217 共1995兲. 19. S. Seal, M. Boyd, V. Desai, J. Akesson, W. Easter, and A. Guha, in Chemical Mechanical Planarization IV, R. L. Opila, C. Reidsema-Simpson, K. B. Sundaram, and S. Seal, Editors, PV 2000-26, p. 288, The Electrochemical Society Proceedings Series, Pennington, NJ 共2000兲. 20. W. Kautek and J. G. Gordon II, J. Electrochem. Soc., 137, 2672 共1990兲. 21. T. L. Ben and S. Seal, J. Vac. Sci. Technol. A, 13, 1239 共1995兲. 22. O. Kubaschewaki and B. E. Hopkins, Oxidation of Metals and Alloys, Butterworths, London 共1953兲. 23. T. Du, D. Tamboli, and V. Desai, Microelectron. Eng., 69, 1 共2003兲. 24. H. Liang, J. M. Martin, and R. Lee, J. Electron. Mater., 30, 391 共2001兲. 25. M. Pourbaix, Atlas of Electrochemical Equilibria in Aqueous Solutions NACE, Houston, TX 共1975兲. 26. T. Du, J. Chen, and D. Cao, J. Mater. Sci., 36, 3903 共2001兲. 27. J. M. Bastidas, J. L. Polo, E. Cano, and C. L. Torres, J. Mater. Sci., 35, 2637 共2000兲. 28. C. Deslouis, B. Tribollet, G. Mengoli, and M. M. Musiani, J. Appl. Electrochem., 18, 384 共1988兲. 29. F. El-Tarib Heakal and S. Haruyama, Corros. Sci., 20, 887 共1980兲. 30. N. S. McIntyre, S. Sunder, D. W. Shoesmith, and F. W. Stanchell, J. Vac. Sci. Technol., 18, 714 共1981兲. 31. D. W. Shoesmith, S. Sunder, M. G. Bailey, G. J. Wallace, and F. W. Stanchell, J. Electroanal. Chem. Interfacial Electrochem., 143, 153 共1983兲. 32. S. W. Gaarenstron and N. Winograd, J. Chem. Phys., 67, 3500 共1977兲. 33. H. Twasaki and S. Nakamma, Surf. Sci., 57, 779 共1976兲. 34. N. S. McIntyre and M. G. Look, Anal. Chem., 47, 2208 共1978兲.