Nanomechanical Properties of High-k Dielectrics Grown by Atomic

0 downloads 0 Views 533KB Size Report
ALD is a chemical reaction based deposition at relatively low temperatures. ..... Hurley, Procedings 25th international conference on microelectronics Belgrade, ... D. H. Triyoso, M. Ramon, R. I. Hegde, D. Roan, R. Garcia, J. Baker, X.D. Wang,.
ECS Transactions, 11 (7) 123-130 (2007) 10.1149/1.2779076 © The Electrochemical Society

Nanomechanical Properties of High-k Dielectrics Grown by Atomic Layer Deposition K. Tapilya,b, J. Jakesc,d, D. S. Stonec,d, P. Shresthaa,b, D. Gua,b, H. Baumgarta,b and A.A. Elmustafab,e a Department of Electrical Engineering, Old Dominion University, Norfolk, Virginia 23529, USA b Applied Research Center, Jefferson National Accelerator Facility, Newport News, Virginia, 23606, USA c Department of Materials Science and Engineering, University of Wisconsin-Madison, Madison, Wisconsin 53706, USA d USDA Forest Products Laboratory, Madison, Wisconsin 53726, USA e Department of Mechanical Engineering, Old Dominion University, Norfolk, Virginia 23529, USA

The challenges of reducing gate leakage current and dielectric breakdown beyond the 45 nm technology node has shifted engineers’ attention from SiO2 to higher dielectric constant materials also known as high-k materials such as HfO2 and Al2O3. Therefore, it is important to investigate the electrical and mechanical properties of these materials that are projected to replace SiO2. In this study, HfO2 and Al2O3 have been deposited by atomic layer deposition (ALD) on Si wafers. Using continuous stiffness method (CSM) Nanoindenter® XP, we report elastomechanical properties of HfO2 and Al2O3 on Si. HfO2 has a hardness and modulus of 9-10 ± 2 GPA and 200 ± 40 GPA respectively. In addition, the hardness and modulus of Al2O3 were determined to be respectively 10-11 ± 2 GPA and 200 ± 40 GPA. Introduction The semiconductor industry, to keep up with Moore’s law, has relied on the scaling down of device size in order to improve the performance, functionality and bit density of chips. The drawback of the miniaturization of devices is the increase of gate leakage current and oxide breakdown (1). To reduce the leakage current and breakdown field, researchers are looking into the high-k dielectric materials. High-k materials such as HfO2 and Al2O3 will increase the transistor drive current and the transistor switching speed (2). HfO2 is predicted to potentially substitute for SiO2, SiOxNy and Si3N4 at the 45 nm technology node and beyond for MOS devices. HfO2 and Al2O3 have dielectric constants of approximately 25 and 8 respectively (3). Different deposition techniques are used to deposit high-k materials. Among these growth techniques are metal organic chemical vapor deposition (MOCVD) (4-6), pulsed laser deposition (PLD) (7), and atomic layer deposition (ALD) (4-6, 8). MOCVD and PLD require high temperature during processing and film fabrication. For example, a minimum temperature of 600 oC is required to deposit HfO2 with MOCVD (9) whereas HfO2 crystallizes once the temperature reaches 600 oC (10). ALD is a chemical reaction based deposition at relatively low temperatures. ALD provides deposition uniformity (atomic layer by atomic layer) and saturative reactions, which makes it the most suitable low-temperature high-k dielectric materials’

123

ECS Transactions, 11 (7) 123-130 (2007)

deposition technique among others. ALD also gives the user a better control over the deposition parameters (8). Each chemical reaction that takes place in an ALD reactor is self-limiting meaning a given reactant will not react further than saturation in a given pulse even if exposure is continued. The reaction bi-products are purged out with an inert gas, typically N2 or Ar. Another trait that uniquely defines ALD as the most appropriate technique to deposit high-k dielectric materials is the high aspect ratio of the films deposited. In fact, ALD deposits accurate film thickness and offers large area uniformity. The thickness of ALD films depends on the number of cycles used. In an ALD cycle, precursors are pulsed and purged consecutively until all precursors are deposited. The electrical properties of high-k dielectric materials such as HfO2 and Al2O3 are widely studied and investigated. However, little is known about the mechanical properties. The nanomechanical properties of high-k dielectrics are of great technological importance since the elasto-mechanical response to thermal cycling and process-induced stress has an effect on the process integration compatibility. In this paper, we use nanoindentation testing techniques and AFM imaging of the indentation impression to investigate the mechanical properties such as modulus and hardness of HfO2 and Al2O3 films. Sample Fabrication We deposited 60 nm, 30nm and 10 nm films of HfO2 by ALD on Si substrates using the same condition for each film. Deposition parameters such as flow rate, pulse and pump time, exposition and delay time were maintained fixed. Similar film thicknesses of Al2O3 were also deposited. Tetrakisdimethylamidohafnium IV (TDMAH) and trimethylaluminum (TMA) were used as precursors for HfO2 and Al2O3 respectively. H2O was the oxidation source. For HfO2 deposition, TDMAH was heated at 75 oC prior to deposition. The deposition cycle consisted of pulsing TDMAH, purging N2 and pulsing H2O. Al2O3 was deposited under similar conditions as in HfO2 deposition. The cycle consisted of pulsing TMA, purging N2 and pulsing H2O. However, in this case TMA was not heated. The thickness vs. the number of cycles for HfO2 and Al2O3 is shown in Fig.1. From the graph, one can see that the deposition rate is linear, which allowed us to predict the number of cycles necessary to deposit a desired film thickness. The thickness of the films was measured by an ellipsometer (Woollam, VASE). Table I summarizes the real thicknesses of the thin films after ALD deposition. TABLE I. Summary of the film thicknesses by ellipsometer Thin film Desired thickness (Å) HfO2 600 HfO2 300 HfO2 100 600 Al2O3 Al2O3 300 100 Al2O3

124

Measured thickness (Å) 583 296 104 607 300 103

ECS Transactions, 11 (7) 123-130 (2007)

600

HfO2 Fit to HfO2

Thickness (A)

500

Al2O3 Fit to Al2O3

400 300 200 100 0 0

100

200

300

400

500

600

Number of cycles

Figure 1. Linearity of HfO2 (black square) and Al2O3 (red circle) film thickness with ALD cycles at 250 oC. The film thicknesses were measured using the ellipsometer (Woollam, VASE). Transmission electron microscope (TEM) and atomic force microscope (AFM) analysis were done on a 4 nm HfO2 sample to illustrate the uniformity and roughness of the film. A high resolution TEM picture of HfO2 film on bulk silicon is shown in Fig. 2. The TEM cross-section in Fig. 2 demonstrates that the HfO2 is amorphous for asdeposited film. AFM analysis was done on the samples to examine the surface roughness in a scan area of 1 µm by 1µm. The surface roughness of the Al2O3 samples was about 0.122 nm and almost constant for various thicknesses. However, the surface roughness of HfO2 films increases as thickness increases and a surface roughness of 3.3 nm was observed for the 60 nm films. Such surface roughness affects the nanoindentation analysis. HfO2 samples were much rougher than the Al2O3 films.

Figure 2. High resolution TEM cross-section of 4 nm HfO2 film deposited on bare Si.

125

ECS Transactions, 11 (7) 123-130 (2007)

Experimental Nanoindentation analysis was used to investigate the mechanical properties for Al2O3 and HfO2. We obtained data from the HfO2 and Al2O3 films in three different steps. In the first step, we only performed indents up to 80% of the top nanolayer film of HfO2 and Al2O3. In the second step, we penetrated to the interface, which is 100% of the film thickness. Finally, we performed indents up to 1000 nm deep into the bulk Si. For example for 60 nm films, a set of shallow indents was made up to 50 nm, which is 80% of the film thickness. Then, another set of indents was made at 60 nm, which is 100% of the film thickness. Finally, another set of indents was made at 1000 nm. The indents were made with a three-sided pyramidal Berkovich tip using the continuous stiffness measurement (CSM). The CSM method consists of continuously applying and recording the displacement of the indenter as a function of the applied force during a complete cycle of loading and unloading. It is redundant to make the indents at three different depths because all the mechanical properties can be obtained from the 1000 nm indents alone with the CSM engaged. In fact, it can be seen in Fig. 3 for the 60 nm HfO2 film that the hardness data from the 1000 nm and the 50 nm indents overlap. In this study, the rest of the analysis and simulation were performed based on the 1000 nm CSM deep indents. A typical force-displacement curves are shown in Fig. 4 for deep (1000 nm) and shallow indents (50nm) on the 60 nm/550µm thick HfO2/Si sample. The curve shows the loading and the unloading cycle of the indent. The load-depth curve is continuous for both indent depths. In Fig. 4, the load- depth curve for both 50 nm and 1000 nm indents overlap.

Figure 3. Comparison between 1000 nm and 50 nm indents for 60 nm film thickness of HfO2. Blue curve represents the 1000 nm indent and the red curve represents the 50 nm film.

126

ECS Transactions, 11 (7) 123-130 (2007)

Load (mN)

Load vs. depth

Load (mN)

250

2 1 0 0

200

50

100

Depth (nm)

HfO2 (60 nm) @ 1000 nm

150 100

HfO2 (60 nm) @ 50 nm

50 0 0

200

400

600

800

1000

depth (nm)

Figure 4. Example of Nanoindentation measurement using CSM. The graph shows a continuous load vs. displacement up to 1000 nm deep into the bulk Si. During the loading of the indenter, a material typically undergoes elastic and plastic deformation. However during the indentation of some materials, fracture events and popins phenomena may also occur and can be observed as discontinuities in the load vs. displacement curves. In Fig. 5, an AFM micrograph of an indent made by a berkovich diamond tip on the 104 Å HfO2 film shows pile-up and evidence of cracks and pop-ins. Nanoindentation hardness is defined as the maximum indention load divided by the projected contact area of the indenter tip. Similarly, the modulus is obtained by dividing the slope of the load vs. displacement curve at the maximum load data point by the projected contact area of the indenter tip. The nanoindentation stiffness of the composite HfO2/Si and Al2O3/Si systems was modeled using elasticity theory for indentation against a layered specimen (1).

Figure 5. AFM picture of a Berkovich indent that was done 200 nm deep into a 104 Å HfO2 thin film specimen.

127

ECS Transactions, 11 (7) 123-130 (2007)

Results and Discussion In this section, discussion pertaining to the 1000 nm CSM indents will only be presented because it includes all the information of interest from the film surface to the bulk Si. Also, values calculated from individual indents performed by a Hysitron (Minneapolis, MN, USA) Triboindenter® with areas measured from AFM images were compared to the values obtained from CSM data. Simulations based on the AFM images areas (11) were completed as well for comparison purposes. Figure 6 shows the modulus of Al2O3 films vs. normalized square root of the area by the film thickness. The data represent the CSM measurement, individual indents from Hysitron indenter, and the simulated moduli based on the area measured by AFM (11). From the graph, the modulus of Al2O3 is roughly 200 ± 40 GPA. CSM measurements correlate well with the individual indents from the Hysitron indenter. However, there is a weak correlation for very shallow indents. We believe this is due to error in the measured area of the indents. In Fig. 7, plot of the hardness vs. the normalized squared root of the area is shown. The hardness of Al2O3 is 10-11 ± 1 GPA. CSM data also correlate well with the individual indents from the Hysitron indenter except for shallow indents. From the individual indent data for both modulus and hardness in figures 6 and 7, a decrease in hardness and modulus is observed at a normalized squared root of the area value of 4-5. This corresponds to an observation that all the indents performed on this 60 nm Al2O3 film had a discontinuity in the load vs. displacement curves, likely a fracture event, which corresponds to a load of 1 mN. This fracture event most likely influenced the calculated values of modulus and hardness. 300 simulations Individual indents, measured areas Continuous stiffness measurements from single indents

Al2O3 on Si hf = 60.7 nm

Eeff (GPa)

250

240 GPa

200

200 GPa

Ef = 160 GPa 150 10

0

1

10

A/hf

Figure 6. Graph shows the modulus vs. normalized square root of the area of contact by film thickness. Modulus of Al2O3 is about 200 ± 40 GPA. Eeff = film modulus, hf =film thickness, A= area of the indent.

128

ECS Transactions, 11 (7) 123-130 (2007)

20 Individual indents, measured areas Continuous stiffness measurements from single indents

H (GPa)

15

Al2O3 on Si hf = 60.7 nm

10

5 10

0

10

1

A/hf

Figure 7. Graph shows the hardness vs. normalized square root of the area of contact by film thickness. Hardness of Al2O3 is about 10-11 ± 1 GPA. H = film hardness, hf =film thickness, A= area of the indent. Similar results and analysis were obtained for the 60 nm HfO2 film. The modulus and hardness of HfO2 are 200 ± 40 GPA and 9-10 ± 1 GPA respectively. Hardness and modulus data of the HfO2 were more scattered than the Al2O3 data due to the surface roughness of the film. Cracks, bubling up of the film around the indents, and pop-ins were observed for HfO2 film. Figure 8 shows the roughness of the 60 nm HfO2 film and the bubbling of the 10 nm HfO2 film. Further studies will be performed in the near future to investigate these surface defects.

Figure 8: shows cracks, pop-ins, and pile-up of HfO2 film. Left picture shows surface roughness and evidence of possible cracks for an indent performed on the 60 nm HfO2 film. Right picture shows a 1.5 mN indent in the 10 nm HfO2 film with a large amount of bubbling up around the indent. The three diamond-shaped objects surrounding the indent represent the inverse shape of AFM tip, an artifact likely caused by an extremely sharp point present on the surface of the thin film. The data obtained in this study shows Al2O3 is harder than HfO2. The modulus and hardness values of Al2O3 thin films are comparable with literature values which are 180 ±

129

ECS Transactions, 11 (7) 123-130 (2007)

8.2 GPA and 12 ± 1 GPA respectively (12). Little is known about HfO2 thin films. Table II summarizes the modulus and hardness of Al2O3, HfO2 and bulk Si from this work. TABLE II. Summary of the nanomechanical properties Thin film Modulus (GPA) HfO2 200 ± 40 Al2O3 200 ± 40 Bulk Si 180 ± 40

Hardness (GPA) 9-10 ± 1 10-11 ± 1 13 ± 1

Conclusion Because of the potential aspect to substitute SiO2, SiOxNy and Si3N4 at the 45 nm technology node and beyond, the nanomechanical properties of HfO2 and Al2O3 were studied. Nanoindentation method was used in conjunction with the CSM method to measure the hardness and modulus. HfO2 has a hardness and modulus of 9-10 ± 2 GPA and 200 ± 40 GPA respectively whereas Al2O3 has a hardness and modulus of 10-11 ± 2 GPA and 200 ± 40 GPA respectively. Further studies have been done to investigate the reasons for defects such as cracks, bubbling of the films and pop-ins. A full-length paper would later be published in the near future. References 1. N. Miller, K. Tapily, H. Baumgart, A. A. Elmustafa, G. Celler, F. Brunier, in Symp. HH: Surface and Interfacial Nanomechanics, MRS Spring Meeting, San Francisco, California (2007). 2. K. Cherkaoui, A. Negara, S. McDonnell, G. Hughes, M. Modreanu and P. K. Hurley, Procedings 25th international conference on microelectronics Belgrade, Serbia and Montenegro, 351 (2006). 3. S. K Dey, A. Das, M. Tsai, D. Gu, M. Floyd, R. W. Carpenter, H. D. Waard, C. Werkhoven and S. Marcus, J. Appl. Phy., 95, 9 (2004). 4. C. Dubourdieu, H. Roussel, C. Jimenez, M. Audier, J. P. Senateur, S. Lhostis, L. Auvray, F. Ducroquet, B. J. O’Sullivan, P. K. Hurley, S. Rushworth and L. Hubert-Pfalzgraf, Mater. Sci. Eng., B 118, 105 (2005). 5. A. C. Jones, H.C. Aspinall, P.R. Chalker, R.J. Potter, K. Kukli, A. Rahtu, M. Ritala and M. Leskela, Mater. Sci. Eng., B 118, 97 (2005). 6. D. H. Triyoso, M. Ramon, R. I. Hegde, D. Roan, R. Garcia, J. Baker, X.D. Wang, P. Fejes, B. E. White and P. J. Tobin, J. Electrochem. Soc., 152, G203 (2005). 7. H. Ikeda, S. Goto, K. Honda, M. Sakashita, A. Sakai, S. Zaima and Y. Yasuda, Jap. J. Appl. Phys., 41 (4B), 2476 (2002). 8. J. S. Becker, PhD. Thesis, Department of Chemistry and Chemical Biology, Hardvard University, Cambridge, MA (2002). 9. W. Deweerd, A. Delabie, S. V. Elshocht, S. D. Gendt, M. Caymax and M. Heyns, IMEC Future fab International. 20, (2006). 10. S. J. Lee, Y. M. Jung, S. J. Lim, K. H. Lee, S. K. Lee, T. W. Seo, in Atomic Layer Deposition Applications 2/ 2006, A. Londergan, S. De Gend, J. Elam, S.B. Kang, G. S. Mathad and O. Van Der Straten, Editors, The Electrochemical Society Proceedings Series, Pennington, NJ (2006). 11. D. S. Stone, J. Mat. Res., 13, 3207 (1998). 12. M. K. Tripp, C. Stampfer, D. C. Miller, T. Helbling, C. F. Herrmann, C. Hierold, K. Gall, S. M. George and V. M.Bright, Sensor. Actuators, A130-131, 419 (2006).

130