New Architectures for High Resolution Patterning

1 downloads 0 Views 4MB Size Report
In the past few years, we have focused on developing small molecule resists capable .... modified with protecting groups thus rendering the molecules non polar.
New Architectures for High Resolution Patterning

Anuja De Silva1, Nelson Felix2, Drew Forman3, Jing Sha3 & Christopher K. Ober3 1

Department of Chemistry and Chemical Biology, 2 Department of Chemical and Biomolecular Engineering, 3Department of Materials Science & Engineering and Cornell University, Ithaca, NY14853.

Abstract: The ability of the semiconductor industry to reduce device dimensions below 45 nm is hindered by limitations in both resist material and processing technique. High resolution and sensitivity along with low line edge roughness are key requirements of next generation resist materials. In order to meet the future demands of the semiconductor industry, new resist design strategies are being considered. In the past few years, we have focused on developing small molecule resists capable of high resolution patterning. Despite their small size, these molecules known as molecular glass can be designed to demonstrate high glass transition temperatures (Tgs) comparable to polymeric resists. Several ring and branched architectures with high Tgs that have attained feature resolution as small as 30nm through Extreme Ultraviolet (EUV) exposure will be discussed. In addition to potential performance advantages, the small size of these resist molecules also allow solvent free processing techniques to be utilized. Our efforts on physical vapor deposition and supercritical CO2 development of molecular glass resists will also be highlighted. Furthermore, we have also designed hybrid resist materials for the 193nm wavelength by combining short polymeric arms with various molecular glass cores. These innovative architectures help to identify effective strategies for improving lithographic performance through fundamental structure - property analysis. Keywords: Molecular Glass Resists, Hybrid Resists, Chemical Amplification, EUV, 193nm lithography, Glass Transition Temperature (Tg), Line edge roughness (LER)

1.0 Introduction Inorder to meet the growing demands of the semiconductor industry, designing high resolution resist materials has been a main focus in our research group. As the resolution limit continues to decrease, new resist architectures and processing techniques need to be developed. In the past few years, our group has focused on developing small molecule resist materials known as molecular glass resists capable of high resolution pattering. Molecular glasses (MGs) are can be designed to combine beneficial properties of both polymers as well as small molecules[1, 2]. Like polymers, MGs can show high glass transition temperatures (Tgs) and be processed into stable thin films. But these molecules have a well defined structure that contributes to isotropic properties and can be purified using standard chromatographic techniques[3]. The resist building block in an MG resist may be as much as an order of magnitude in size smaller and may be monodisperse compared to conventional polymeric resists. The reduction of the “pixel” size is believed to be a fundamental improvement in the ability to consistently obtain highresolution patterns. Additionally, MGs can eliminate issues such as grain boundary effects, phase incompatibility with small molecule additives, chain ends and chain entanglement created by using polymeric resists. Theoretical studies have also shown that line edge roughness (LER) increases with the molecular weight of the photoresist, both for classical and chemically amplified photoresists. Radius of gyration (RG) of a photoresist polymer has also been directly correlated to LER to demonstrate that a smaller RG can consistently yield a smaller LER[4, 5]. More recent work using stochastic simulations has compared MG resist films with oligomeric resists of the same MW, to show that MG resists have significantly lower LER[6]. This has been attributed to the compact molecular size as well as the intrinsic nature of its free volume distribution in small segregated areas that can hinder acid diffusion. A major challenge in developing MG materials is that there are only limited types of materials that form stable amorphous glasses above room temperature as most small molecules have a tendency Advances in Resist Materials and Processing Technology XXV, edited by Clifford L. Henderson, Proc. of SPIE Vol. 6923, 69230O, (2008) · 0277-786X/08/$18 · doi: 10.1117/12.772667

Proc. of SPIE Vol. 6923 69230O-1 2008 SPIE Digital Library -- Subscriber Archive Copy

to crystallize. In order to capitalize on the potential of MG photoresists several structural requirements need to be fulfilled. The ability to demonstrate high Tgs compared to polymeric resist is a primary concern. Tg is an important factor in the ability to form stable thin films and undergo several processing steps such as post exposure bake (PEB), base development and etching. Therefore, when designing amorphous molecular materials, structural features that increase Tg in addition to reducing crystal growth rate must be incorporated. Common glass forming topologies presented in this paper include branched and ring molecular structures. The molecular architecture is important in creating a dense, bulky molecular system with a high tendency towards vitrification[7]. The first MG photoresist materials were introduced by Shirota et al. for electron beam lithography[8]. However, the doses required in e-beam exposure were 3 orders of magnitude higher than those typical for resists that decompose by chemically amplified acidolysis. By switching to chemically amplified MG resist systems, Shirota et al. were able to attain sub 100nm feature sizes. Shirota et al. also demonstrated that branched MG resists with high Tgs showed higher sensitivity and resolution[9]. Efforts from Ueda et al. presented ring structures based on calix[4]resorcinarenes as positive and negative tone resist systems[10, 11]. Only micron sized features were obtained through 365nm exposure. In the past few years several efforts have succeeded in producing MG photoresists with sub 50nm capabilities[12-14]. A calix[4]resorcinarene derivative that produces 30nm line space patterns under EUV conditions was developed by Ober et al., and this work represented the first report on sub 50nm features obtained by a MG resist using standard processing conditions[15]. Since then several molecular resists based on the phenolic building block have been explored to produce MG photoresists with sub 30nm resolution with improved LER compared to their polymeric counterparts[13, 16]. This paper will highlight significant results that we have obtained in the design and evaluation of versatile high resolution MG resists[17, 18]. The development of MG resists has also paved the way for the exploration of environmentally friendly processing techniques. Direct deposition of photoresist materials on the silicon wafer through physical vapor deposition (PVD) has been explored through our collaboration with the Schmidt group at the University of Bayreuth. Due to the small size of the MG photoresist materials, they can be volatilized before their decomposition temperature and deposited on a silicon wafer. This technique has the potential to improve deposition performance as it eliminates the use of solvents that may contain dust or other high boiling point residues. The ability to produce uniform thin films with precise thickness is an added advantage. The solvent free casting of silicon wafers also has environmental benefit of reducing solvent waste. Our initial work demonstrates the successful deposition and patterning of three component negative tone resist systems with a MG resist matrix, a crosslinker and a nonionic photoacid generator (PAG)[19]. In addition, combinatorial techniques have also been employed to optimize the composition of the photoresist formulations in a systematic manner[20]. Future work is underway to extend PVD methods to positive tone systems as well as to evaluate its performance advantages on high resolution patterns. Supercritical CO2 photoresist development is another environmentally friendly process that has shown great potential for use in next generation pattern development. Supercritical CO2 development is an efficient alternative process to aqueous-base or organic-solvent development for high-resolution patterning from a performance as well as an environmental aspect. ScCO2 is an easily tunable solvent with zero surface tension and high diffusivity that can lead to better development characteristics compared to liquid solvents for dense, high-aspect-ratio features. Though certain fluoro polymers and silicones have been shown to be soluble at moderate supercritical conditions[21, 22], photoresists that are used in conventional resists exhibit very poor dissolution in scCO2. Typically, without additives, scCO2 is primarily a solvent for small, nonpolar molecules. Therefore, the small size of MG resists enables development in scCO2 when modified with protecting groups thus rendering the molecules non polar. Several types of MG resist architectures have been explored to understand the effect of molecular size and structure on the dissolution characteristics of MGs in scCO2[23]. Sub100nm feature sizes have been demonstrated through scCO2 development of both branched and ring architectures[24, 25].

Proc. of SPIE Vol. 6923 69230O-2

Recent research efforts in our group have focused on investigating new resist architectures with elements of both polymers and molecular glasses combined. These new architectures are termed “hybrid” resists as they are designed to harness the favorable aspects of both polymeric and molecular resist architecture[26]. Our initial hybrid structures are based on a MG type core radiating with short polymeric arms of varying monomer units. It is expected that when the number of monomer units increase, the hybrid is dominated by the polymeric properties but when the number of monomer units decrease, the hybrid will be more influenced by the properties of the MG core. A systematic study is being conducted where the effect of several types of core materials with varying numbers of arms and arm lengths are being evaluated to understand their structure-property relationships. Rigid core structures based on sugar based molecules have been chosen to be transparent at the 193nm wavelength. The number of arms is controlled by the functionality on the core material while atom transfer radical polymerization techniques enable the arm length to be precisely determined through controlled reaction kinetics. The preliminary results on the lithographical evaluation of these hybrid resists and their potential as next generation resist materials will be discussed.

2.0 Experimental 2.1 Synthesis of Phenolic Molecular Glass Resists The phenolic MGs CR15-17 were synthesized from an acid catalyzed condensation of phenol with the respective ketone in the presence of hydrochloric and ascetic acid. The compounds were obtained in relatively moderate yields after careful purification with a combination of solvent mixtures[17]. HHPB-mp was prepared through a trimerization of n, n′- dimethoxy-bisphenyl-acetylene using Co2(CO)8 as a catalyst. The compound was obtained in relatively high yields after careful purification through regular chromatographic techniques. The deprotection of the methyl group with BBr3 was conducted to yield HHPB-mp as the core compound[24]. The calix[4]resorcinarene derivatives were synthesized through an acid catalyzed one-pot reaction between resorcinol and the respective aldehydes. They were obtained in high yields as a mixture of various isomers and used without further purification[11]. These compounds were protected with tert-butyl carbonyl (t-BOC) to varying degrees (50%-100%) by a standard base catalyzed reaction in the presence of 4-dimethyl amino pyridine (DMAP)[27]. To obtain very pure samples, partially protected compounds were subsequently subjected to column chromatography with acetone as the eluent. 100% t-BOC protected samples were purified using dichloromethane as the eluent.

2.2 Synthesis of Hybrid Resist Materials Three types of MG cores a modified cholic acid, glucose and sucrose with varying functional groups were converted to atom transfer radical polymerization (ATRP) initiators through esterification with 2bromoisobutyryl bromide. ATRP of tert-butyl methacrylate monomer was carried out in acetone at 60°C with a 7:3 ratio of Cu(I)Cl : Cu(II)Cl2 and the ligand N,N,N’,N”,N”pentamethyldiethylenetriamine. The kinetics of this system were previously studied to achieve star hybrids, with relatively short polymeric arms. Products with increasing arm size were obtained upon increasing reaction time. Excess Cu(II)Cl2 was added to the solution to inhibit further chain growth. The acetone was removed under reduced pressure, and the remaining solution extracted through dichloromethane and filtered to remove the copper catalyst. The dichloromethane was evaporated under reduced pressure yielding a solution of star hybrids and unreacted monomer, which was dialyzed in 1,4-dioxane using 1000 Dalton Spectrum Spectra/Por dialysis membrane tubing for 24hrs two times removing the unreacted monomer. The solution was concentrated under reduced pressure, extracted with THF and precipitated in methanol/water. The precipitate was collected by means of vacuum filtration and the product dried under reduced pressure to obtain the pure hybrid star photoresists

Proc. of SPIE Vol. 6923 69230O-3

2.3 Thermal Characterization The thermal properties of the phenolic MGs were investigated by differential scanning calorimetry (DSC) and thermogravimetric analysis (TGA).Thermo gravimetric analysis (TGA) was performed on a TA Instruments Q500 at a heating rate of 10 °C/min under N2. The glass transition temperatures (Tg) of resist materials were measured on a TA Instruments Q1000 Modulated differential scanning calorimeter (DSC) at a heat/cool rate of 10 °C/min under N2 for three heat/cool cycles. Tg was determined from the second heating/cooling cycle.

2.4 Lithographic Evaluation of Positive-Tone Molecular Glasses using EUV exposure The resist compounds were dissolved in propylene glycol methyl ether acetate (PGMEA) making a 4% wt solution. A commercially available PAG, triphenylsulfonium perfluoro-1-butanesulfonate or a n in house developed PAG (5% with respect to resist) and in some cases trioctylamine (0.3 wt% with respect to resist) were added and the solution was filtered through a 0.2 mm membrane filter. Then the solutions were spin coated onto a 4 inch HMDS primed silicon wafer (1200 rpm, 30 s) leading to approximately 100 nm thick films. This was subjected to a post application bake (PAB) at 125 °C for 60 s, then exposed using EUV radiation. After exposure, the wafer was baked at an optimized PEB temperature within the range of 80-90 °C for 30 s and then developed in an aqueous solution of AZ 300 MIF developer (0.262 N TMAH) or diluted aqueous base for 60s. The contrast curves were obtained through EUV exposure at Sematech North in Albany and the imaging was done in Lawrence Berkeley National Laboratory and the Paul Scherrer Institut in Switzerland.

2.5 Lithographic Evaluation of Molecular Glasses using Electron beam Lithography and ScCO2 Development The resist formulations were formed with a 100% t-BOC protected molecular glass compound and triphenylsulfonium perfluoro-1-butanesulfonate PAG compound (5% with respect to resist) in 5wt% solution of PGMEA. The solution was filtered rough a 0.2 mm membrane filter and spun coated onto a 4 inch HMDS primed silicon wafer (1200 rpm, 30 s) leading to approximately 100 nm thick films. This was subjected to a post application bake (PAB) at 130 °C for 60 s, Electron beam (e-beam) patterning was performed using a Leica VB6 operating at 100 keV. Post-exposure baking (PEB) was performed at 90 ºC for 30 seconds. These patterned films were then developed in scCO2 at various temperature and pressure conditions.

2.6 Metrology Scanning electron micrographs (SEMs) were taken on Zeiss Ultra 55 scanning electron microscope. Line edge roughness was calculated using SuMMIT (EUV Technology) image analysis software. A SEM image of a 100 nm line/space pattern was taken for each line edge. The LER value was the average of ten adjacent lines.

3.0 Results and Discussion This paper presents a summary of our efforts in designing MG resists with varying size and architecture. A library of molecules based on the phenolic component has been synthesized to understand the relationship between molecular structure and thermal and lithographic properties. We have focused on designing MG resists with high glass transition temperatures as Tg is an important property for lithographic processing. Due to the small size of MGs compared to polymeric resists molecular architecture plays a significant role in attaining high Tg materials. A detailed structure-property study of selected branched compounds demonstrates that generally an increase in Tg with increasing molar mass is observed. But specific molecular features such as rigid linkages or dense core structures can be incorporated to increase the Tg of the material (Figure 1). MG resists are promising materials where the thermal properties can be tuned with more precision than for polymers.

Proc. of SPIE Vol. 6923 69230O-4

Branched Phenolic MG Resists OH

OH

HO -——-—jOH OH

HO-e5

CR16 OH

CR15

OH

HO'

Figure 1: Branched phenolic based MG resists with high Tgs

Of the various branched structures that we have studied, compounds with six phenolic groups and within the MW weight range of 600-1000g/mol have displayed thermal properties similar to polymeric materials (Table 1). Our work demonstrates that branched bulky architecture is conducive to forming high Tg resists with modest molecular weights.

PHOST (BK)

6000

I

150

104

I

121

Table 1: Thermal Characterization of MG Resists

By protecting these phenolic MGs with an acid labile protecting group such as t-BOC, these molecules can be evaluated as positive tone EUV resists. The contrast curves for these partially tBOC protected phenolic MGs were obtained through stepwise EUV exposures at Sematech North. Figure 2 indicates good contrast and high sensitivity of these MG resists. The sensitivities of compounds are within the accepted range of 10-20mJ/cm2 for next generation resist systems.

Proc. of SPIE Vol. 6923 69230O-5

—.—CR1550 —A—HHPBmp5O

1.0

U)

0.8

a)

0.6 F-

4

0

NormaI

..

F')

ri

C

a)

0.01

Figure 2: EUV contrast curves for partially protected phenolic MGs

In addition to branched molecular structures ring shaped compounds have also shown impressive performance through EUV exposure. The ring architecture of calix[4]resorcinarene derivatives imparts a high Tg by reducing molecular flexibility (Table 1). The ring structure also accounts for the high thermal stability of these compounds. The advantage of a calix[4]resorcinarene based MG system is the ability to tune the chemical structure through minor synthetic modifications. Several functionalities can be introduced to the system by altering the resorcinol or the aldehyde starting material. We have introduced both reactive and non-reactive substituents to the benzaldehyde starting material inorder to modify resist properties such as sensitivity, dissolution and contrast (Figure 3). In C-TMSB-4-R, additional resist properties such as enhanced etch resistance and transparency has been provided through the incorporation of silicon atoms.

Figure 3: MG resists based on calix[4]resorcinarene derivatives

Proc. of SPIE Vol. 6923 69230O-6

Several hybrid resists with different numbers of polymeric arms and arm lengths are currently being analyzed (Figure 4). Like in MG resists, the dense globular architectures of these structures also result in high Tg materials. Our preliminary studies show that the arm length is critical in determining resist properties such as Tg, adhesion, sensitivity and solubility in base. Future work is underway to further modify the core of this material with PAG-philic moieties to control acid diffusion or etch resistant functionalities. It has been shown that highly branched globular structures of this type have controlled ionization characteristics. This could enable a controlled rate of dissolution which could be important in high resolution pattern development.

A Figure 4: Hybrid resists with 3, 5 and 8 arm numbers

The partially t-BOC protected MG compounds were patterned as positive tone resists using the EUV microexposure tool at Lawrence Berkeley National Laboratory (LBNL) and the interference lithography tool at Paul Scherrer Institut (PSI). High Tg and contrast were important factors in the ability to attain high resolution patterns after post exposure processing. High resolution patterns with Sub30nm features sizes were demonstrated for selected phenolic MG structures. (Figure 5).

Proc. of SPIE Vol. 6923 69230O-7

Figure 5: High resolution patterns of MG resists obtained through EUV exposure

These results demonstrate the reproducibility of the patterning capabilities of the MG resist materials. Both ring and branched structures have demonstrated sub 30nm feature sizes through EUV exposure at LBNL. Most MG resists have consistently demonstrated lower LER In the range of 5-7nm, compared to polymeric resists. We have observed the best LER (3σ) to date, 4.6 nm, from 50 nm dense lines, with 70% t-BOC protected C-HPB-4-R. Further investigation is underway to evaluate if resist architecture (branched, ring or other) can directly influence LER.

Figure 6: Sub100nm features of MG resists patterned through e-beam lithography and developed in scCO2.

MG resists have also been modified to be non polar inorder to pursue dry development in scCO2. MGs with varying architecture and size upto 2000g/mol have been soluble in scCO2 at moderate conditions. 50nm dense patterns with high aspect ratios have been resolved with no pattern collapse. Our initial results also indicate that scCO2 has a smoothing effect due to the absence of a phase boundary and helps to reduce LER.

4.0 Conclusion Our research focus is on developing molecular glass resists compatible with next generation lithography with a broad range of molecular architecture. We have also extended our research to hybrid structures which are an intermediate between polymers and MGs. Through an in depth study of several resist systems, we have established some guidelines for the design of high Tg MG resists. Sub30nm features sizes have been obtained by selected MG resists which highlights the potential of these materials. The lithographic evaluation of MG resists demonstrates that they possess impressive properties in terms of sensitivity, contrast, etch resistance and resolution. We have also used MG resists as platform to investigate environmentally friendly processes such as

Proc. of SPIE Vol. 6923 69230O-8

physical vapor deposition and scCO2 development. Future work is underway to further reduce LER of these MG resist through precise molecular design to inhibit acid diffusion.

Acknowledgment. This work was funded by the Semiconductor Research Corporation (SRC) and Intel Corporation. Dr. Kevin Orvek at Intel is thanked for grating EUV exposure time. The authors would also like to thank Dr Brian. Hoef and Dr Gideon Jones at LBNL., Dr. Andy Rudack & Dr. Matt Malloy at Sematech North , Dr. Harun Solak and Ms. Anya Weber at PSI for EUV exposure and patterning. Dr. Kevin Orvek at Intel is thanked for grating EUV exposure time. The Cornell Nanoscale Science and Technology Facility (CNF) and the Cornell Center for Materials Research (CCMR) are thanked for use of their facilities.

References: [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27]

Y. Shirota, Journal of Materials Chemistry 2000, 10, 1. Y. Shirota, Journal of Materials Chemistry 2005, 15, 75. P. Strohriegel, J. V. Grazulevicius, Advanced Materials 2002, 14, 1439. G. P. Patsis, V. Constantoudis, E. Gogolides, Microelectronic Engineering 2004, 75, 297. G. P. Patsis, E. Gogolides, Microelectronic Engineering 2006, 83, 1078. D. Drygiannakis, G. P. Patsis, I. Raptis, D. Niakoula, V. Vidali, E. Couladouros, P. Argitis, E. Gogolides, Microelectronic Engineering 2007, 84, 1062. K. Naito, Chemistry of Materials 1994, 6, 2343. M. Yoshiiwa, H. Kageyama, Y. Shirota, F. Wakaya, K. Gamo, M. Takai, Applied Physics Letters 1996, 69, 2605. Toshiaki Kadota, H. Kageyama, F. Wakaya, K. Gamo, Y. Shirota, Chemistry Letters 2004, 33, 706. O. Haba, K. Haga, M. Ueda, Chemistry of Materials 1999, 11, 427. K. Young-Gil, J. B. Kim, T. Fujigaya, Y. Shibasaki, M. Ueda, Journal of Materials Chemistry 2002, 12, 53. J. Dai, S. W. Chang, A. Hamad, D. Yang, N. Felix, C. K. Ober, Chemistry of Materials 2006, 18, 3404. H. Oizumi, F. Kumasaka, Y. Tanaka, T. Hirayama, D. Shiono, H. Hada, J. Onodera, A. Yamaguchi, I. Nishiyama, Microelectronic Engineering 2006, 83, 1107. D. Yang, S. W. Chang, C. K. Ober, Journal of Materials Chemistry 2006, 16, 1693. S. W. Chang, R. Ayothi, D. Bratton, D. Yang, N. M. Felix, H. B. Cao, H. Deng, C. K. Ober, Journal of Materials Chemistry 2006, 16, 1470. K. Kojima, Shigeki Mori, D. Shiono, H. Hada, J. Onodera, Proceedings of SPIE-The International Society for Optical Engineering 2007, 6519, 6519D. A. De Silva, J. K. Lee, X. Andre, N. Felix, C. K. Ober, Chemistry of Materials 2008, ASAP. A. D. Silva, C. K. Ober, Journal of Materials Chemistry 2008, Accepted. F. Pfeiffer, N. M. Felix, C. Neuber, C. K. Ober, H.-W. Schmidt, Advanced Functional Materials 2007, 17, 2336. M. Thelakkat, C. Schmitz, C. Neuber, H.-W. Schmidt. 2004, 204., Macromolecular Rapid Communication 2004, 25, 204. D. Flowers, E. N. Hoggan, R. G. Carbonell, J. M. DeSimone, Proceedings of SPIE - The International Society for Optical Engineering 2002, 4690, 419. V. Q. Pham, R. J. Ferris, A. Hamad, C. K. Ober, Chemistry of Materials 2003, 15, 4893. N. M. Felix, A. D. Silva, C. M. Y. Luk, C. K. Ober, Journal of Materials Chemistry 2007, 17, 4598. N. M. Felix, K. Tsuchiya, C. K. Ober, Advanced Materials 2006, 18, 442. N. M. Felix, A. D. Silva, C. K. Ober, Advanced Materials 2008, Accepted. E. L. Schwartz, D. C. Forman, SRC Final Review Presentation 2007. M. M. Hansen, J. R. Riggs, Tetrahedron Letters 1998, 39, 2705.

Proc. of SPIE Vol. 6923 69230O-9