Schottky Barrier Height Tuning via the Dopant ... - DiVA portal

4 downloads 0 Views 2MB Size Report
Apr 27, 2016 - p/n junction source/drain structure of the future ultra-scaled ... into the metal silicide/Si interface and hence tune the effective SBH towards 100 meV, .... Figure 3. Secondary ion mass spectrometry (SIMS) profiles of (a) As ...
materials Article

Schottky Barrier Height Tuning via the Dopant Segregation Technique through Low-Temperature Microwave Annealing Chaochao Fu 1 , Xiangbiao Zhou 1 , Yan Wang 1 , Peng Xu 1 , Ming Xu 1 , Dongping Wu 1, *, Jun Luo 2 , Chao Zhao 2 and Shi-Li Zhang 3 1

2 3

*

State Key Laboratory of ASIC and System, Fudan University, Shanghai 200433, China; [email protected] (C.F.); [email protected] (X.Z.); [email protected] (Y.W.); [email protected] (P.X.); [email protected] (M.X.) Key Laboratory of Microelectronic Devices and Integrated Technology, Institute of Microelectronics, Chinese Academy of Science, Beijing 100029, China; [email protected] (J.L.); [email protected] (C.Z.) Solid-State Electronics, The Ångström Laboratory, Uppsala University, P.O. Box 534, Uppsala 75121, Sweden; [email protected] Correspondence: [email protected]; Tel.: +86-135-6483-8935

Academic Editor: Dinesh Agrawal Received: 29 February 2016; Accepted: 21 April 2016; Published: 27 April 2016

Abstract: The Schottky junction source/drain structure has great potential to replace the traditional p/n junction source/drain structure of the future ultra-scaled metal-oxide-semiconductor field effect transistors (MOSFETs), as it can form ultimately shallow junctions. However, the effective Schottky barrier height (SBH) of the Schottky junction needs to be tuned to be lower than 100 meV in order to obtain a high driving current. In this paper, microwave annealing is employed to modify the effective SBH of NiSi on Si via boron or arsenic dopant segregation. The barrier height decreased from 0.4–0.7 eV to 0.2–0.1 eV for both conduction polarities by annealing below 400 ˝ C. Compared with the required temperature in traditional rapid thermal annealing, the temperature demanded in microwave annealing is ~60 ˝ C lower, and the mechanisms of this observation are briefly discussed. Microwave annealing is hence of high interest to future semiconductor processing owing to its unique capability of forming the metal/semiconductor contact at a remarkably lower temperature. Keywords: microwave annealing; Schottky barrier height; MOSFETs; dopant segregation; low temperature; diode

1. Introduction The tuning of Schottky barrier height (SBH) between metal silicide and underlying Si by the dopant segregation (DS) technique has recently been extensively explored for the development of integrated circuits of advanced technology nodes. The barrier height of the junction in the actual metal-semiconductor interface is deemed effective SBH, for which the influence of the electric field is taken into account [1]. Ultra-low contact resistivity between Ni1-x Ptx Si and Si at the level of 6–7 ˆ 10´9 Ohm¨cm´2 , which is highly desirable for the 3-D metal-oxide-semiconductor field effect transistor (MOSFET) structures for the 15-nm node and beyond, has been reported with SBH tuning with the DS technique [2]. Meanwhile, a metal silicide Schottky junction source/drain (S/D) structure with low effective SBHs tuned by DS has also attracted substantial research interest [3,4], since it has great potential to replace the conventional shallow p/n junction S/D in the future ultra-scaled MOSFETs, which require extremely shallow and low series resistance S/D regions. The effective SBH is required to be lower than 100 meV in order to obtain a high driving current for the application of the Schottky junction S/D in the scaled MOSFETs [4,5]. To introduce dopants Materials 2016, 9, 315; doi:10.3390/ma9050315

www.mdpi.com/journal/materials

Materials 2016, 9, 315

2 of 10

into the metal silicide/Si interface and hence tune the effective SBH towards 100 meV, mainly two DS schemes have been explored: silicide-induced dopant segregation (SIDS) [6,7] and silicide as a diffusion source (SADS) [6–8]. In both cases, the dopants are driven and finally segregate at the silicide/Si interface by a thermal treatment such as the rapid thermal annealing (RTA) process. The RTA temperature has reportedly been above 500 ˝ C in order to effectively tune SBH to the saturated level [6–8]. The application of microwave annealing (MWA) in semiconductor processing has recently gained increasing attention due to the unique features related to the MWA process. MWA has been reported to be able to form metal silicide [9–12] and activate dopants [11,13] at a significantly lower temperature compared with the conventional RTA process. The temperature differences were reported to be around 100 ˝ C [9–11] or even close to 200 ˝ C [11,13]. In this work, we experimentally demonstrate SBH tuning with the DS technique with a low-temperature MWA process and explore the related mechanisms. The SIDS scheme is adopted in the experiments due to its effectiveness [6,7] in SBH tuning and relatively simpler process flow compared with the SADS scheme. 2. Experimental Section Both p-type and n-type 4-inch (100) epitaxial wafers were used as the substrates. The thickness of the 1–10 Ω¨cm epitaxial layer was 5 µm. After the deposition of a 200-nm-thick SiO2 layer via low-pressure chemical vapor deposition, lithography and dry etch of the SiO2 layer were conducted to form a circular hole with a diameter of 100 µm. Boron (B) with a dose of 1 ˆ 1015 cm´2 at 1 keV and arsenic (As) with a dose of 1 ˆ 1015 cm´2 at 7 keV were then implanted into the n-type and p-type substrates, respectively. After removal of the photoresist, a 40-nm Ni film was deposited via sputter, preceded by a wet cleaning step with hydrofluoric acid to remove the remaining oxide on the Si surface in the hole. The wafers were then sliced into square-shaped samples with a size of about 25 mm ˆ 25 mm, followed by a drive-in anneal via MWA or RTA for various durations and at various temperatures in a N2 atmosphere (see Table 1). The schematic description of the process flow is illustrated in Figure 1a. Table 1. Annealing temperature and duration for both microwave annealing (MWA) and rapid thermal annealing (RTA) techniques. (The corresponding microwave power for 319 ˝ C, 377 ˝ C, and 414 ˝ C MWA are 2100 W, 2800 W, and 3500 W, respectively. Implanted Ions/Substrate

Arsenic/p-Type

Boron/n-Type

Annealing technique

MWA

RTA

RTA

MWA

RTA

RTA

Time (s)

600

600

30

600

600

30

Temperature (˝ C)

319 377 414 -

377 414 500 550 600 -

500 550 600 650 700 750

319 377 414 -

377 414 500 550 600 -

500 550 600 650 700 750

The MWA was carried out in a DSGI (DSG Incorporation, Santa Clara, CA, USA) octagonal MWA chamber at a frequency of 5.8 GHz. Vertically stacked wafers are supported by three quartz rods inside a quartz chamber. The samples were placed in the middle of the chamber where the electromagnetic field is most uniform. The sample temperature was monitored directly using a Raytek (Santa Cruz, CA, USA) XR infrared pyrometer, which is sitting at the bottom of the MWA chamber and facing the backside of the wafer. The measured temperature is supposed to reflect the temperature of the backside of the bulk Si. Calibration work of experimental (Figures A1 and A2) and simulation (Figures A3 and A4) was done to understand the error of the temperature measurement of the MWA facility. The infrared pyrometer was found to underestimate the temperature of the samples, and the deviation is shown to be no more than 43 ˝ C and 30 ˝ C for the n-type and p-type samples in this

Materials 2016, 9, 315

3 of 10

Materials 2016, 9, 315

3 of 10

measurement of the MWA facility. The infrared pyrometer was found to underestimate the temperature of the samples, and the deviation is shown to be no more than 43 °C and 30 °C 3for the Materials 2016, 9, 315 of 10 work (Figure A2), respectively. A simple of heat transfer was also carried out to study the n-type and p-type samples in this worksimulation (Figure A2), respectively. A simple simulation of heat temperature difference that may exists between the two sides of the wafer. The detail of the calibration measurement of the out MWA facility.the The infrared pyrometer to underestimate transfer was also carried to study temperature differencewas thatfound may exists between thethe two and simulation is depicted in Appendix A. temperature of the samples, and the deviation is shown to be no more than 43 °C and 30 °C for the sides of the wafer. The detail of the calibration and simulation is depicted in Appendix A. n-type and p-type samples in this work (Figure A2), respectively. A simple simulation of heat transfer was also carried out to study the temperature difference that may exists between the two sides of the wafer. The detail of the calibration and simulation is depicted in Appendix A.

(a)

(b)

Figure performed (a) (b) Figure1.1.(a)(a)Process Processflow; flow;(b) (b)temperature temperatureprofiles profilesofofthe theMWA MWAprocesses processes performedatatdifferent different microwave powers. microwave powers. Figure 1. (a) Process flow; (b) temperature profiles of the MWA processes performed at different microwave powers.

The temperature profiles and the corresponding peak temperatures (Tp) of the MWA-treated The temperature profiles and the corresponding peak temperatures (Tp) of the MWA-treated samples are shown in Figure 1b. For comparison with the MWA samples and other published results profiles1b. andFor the comparison correspondingwith peakthe temperatures (Tp) ofand the other MWA-treated samplesThe are temperature shown in Figure MWA samples published [6–8],samples RTA with durations of both 600 and 30 s were performed. After annealing, the unreacted are shown in Figure 1b. For comparison with the MWA samples and other published resultsNi results [6–8], RTA with durations of both 600 and 30 s were performed. After annealing, the was selectively removed with of a piranha solution, whichperformed. is a mixture ofannealing, sulfuric acid unreacted and hydrogen [6–8], RTA withselectively durations both 600 anda 30 s were After Niand unreacted Ni was removed with piranha solution, which is a mixture the of sulfuric acid peroxide. was selectively removed with a piranha solution, which is a mixture of sulfuric acid and hydrogen hydrogen peroxide. peroxide.

3. Results and Discussion 3. Results and Discussion 3. Results and Discussion The Raman spectra of RTA 377 °C, RTA 600 °C, and MWA 319 °C annealed n-type samples The Raman spectra of RTA 377 ˝ C, RTA 600 ˝ C, and MWA 319 ˝ C annealed n-type samples The Raman spectra of RTA 377 °C, °C, and MWA 319is°C annealed n-type were measured and are shown in Figure 2.RTA The 600 monosilicide (NiSi) formed under all samples the three were measured and are shown in Figure 2. The monosilicide (NiSi) is formed under all the three were measured and are shown in Figure 2. The monosilicide (NiSi) is formed under all the three annealing conditions according to the appearance of the peaks of NiSi reported in the literature [14]. annealing conditions according to the appearance of the peaks of NiSi reported in the literature [14]. annealingNiconditions according to the appearance of the peaks of NiSi reported in the literature [14]. No obvious 2Si peaks [15] are detected, indicating the transformation from Ni2Si to NiSi has No obvious Ni2 Si [15] are detected, indicating the transformation from Ni Si to NiSi has almost Nobeen obvious Nipeaks 2Si peaks [15] are detected, indicating the transformation from2 Ni2Si to NiSi has almost accomplished. Additionally, there are no peaks of NiSi2 [16] observed in the spectrum of beenalmost accomplished. Additionally, there are there no peaks ofpeaks NiSi2 of [16] observed in the spectrum of the RTA been accomplished. Additionally, are no NiSi 2 [16] observed in the spectrum of the RTA 600 °C sample, for the temperature is much lower than °C, which is the transition point ˝ ˝ C,750 600 the C RTA sample, for the temperature is much lower than 750 which is the pointpoint of NiSi 600 °C sample, for the temperature is much lower than 750 °C, which transition is the transition of NiSi to NiSi2 [17]. Since the samples with the highest temperature and the lowest temperature all to NiSi Since theSince samples with thewith highest temperature and the temperature all all form of NiSi to NiSi 2 [17]. the samples the highest temperature andlowest the lowest temperature 2 [17]. form nickel monosilicide, it is reasonable to conclude that the samples of the medium temperatures form nickel monosilicide, it is reasonable to conclude thatsamples the samples of medium the medium temperatures nickel monosilicide, it is reasonable to conclude that the of the temperatures have have have also formed nickel monosilicide. alsonickel formed nickel monosilicide. also formed monosilicide.

Figure2.2.Raman Ramanspectra spectra of of the Figure the n-type n-typesamples. samples. Figure 2. Raman spectra of the n-type samples.

Materials 2016, 9, 315 Materials 2016, 9, 315

4 of 10 4 of 10

As shown (SIMS) results in Figure 3, compared withwith the shown in inthe thesecondary secondaryion ionmass massspectrometry spectrometry (SIMS) results in Figure 3, compared as-implanted dopant profiles prior to annealing, the As and B dopants, after the SIDS process with the as-implanted dopant profiles prior to annealing, the As and B dopants, after the SIDS process either MWA MWA or RTA,orareRTA, found to found all pile to upall andpile segregate the vicinity thevicinity NiSi/Si of interface. The with either are up andinsegregate inofthe the NiSi/Si dopant segregation at the NiSi/Si interface can be explained low solid of B and As in interface. The dopant segregation at the NiSi/Si interface canby bethe explained bysolubility the low solid solubility nickel silicide and by the negligible diffusion of thesediffusion dopants of in these Si at sub-800 of B and As in[6] nickel silicide [6] and by the negligible dopants˝ Cintemperature Si at sub-800[18]. °C The observed[18]. larger tailobserved and deviated position in the SIMS profilein of the the SIMS As-implanted temperature The largerpeak tail and deviated peak position profile ofRTA the sample at 377 ˝RTA C might be attributed the relatively incomplete transitionincomplete of NiSi from Ni2 Si asof a As-implanted sample at 377 °C to might be attributed to the relatively transition result of theNiretardation of the Ni diffusion dueoftothe larger silicide grains induced by As [19]. Theinduced deeper NiSi from 2Si as a result of the retardation Ni diffusion due to larger silicide grains distribution of thedeeper As compared to that theAs B may be attributed concentration of by As [19]. The distribution ofofthe compared to that to ofthe thehigher B maypeak be attributed to the the As and the channel effect of the As during implantation, which may bring a higher doping level of higher peak concentration of the As and the channel effect of the As during implantation, which may As than of B at doping a depthlevel of around bring a higher of As 120 thannm. of B at a depth of around 120 nm.

(a)

(b)

Figure 3. 3. Secondary Secondary ion ion mass mass spectrometry spectrometry (SIMS) (SIMS) profiles profiles of of (a) (a) As As dopants dopants on on p-type p-type substrate; substrate; and and Figure (b) BB dopants dopants on on n-type n-type substrate substrate before before and andafter afterannealing. annealing. (b)

The diodes are characterized using capacitance-voltage (C-V) and current-voltage (I-V) The diodes are characterized using capacitance-voltage (C-V) and current-voltage (I-V) measurements. Original C-V data have been re-calculated for the plot of 1/C22 versus voltage to measurements. Original C-V data have been re-calculated for the plot of 1/C versus voltage to extract the hole SBH (ϕbp) on the p-type substrate and the electron SBH (ϕbn) on the n-type substrate. extract the hole SBH (ϕbp ) on the p-type substrate and the electron SBH (ϕbn ) on the n-type substrate. The capacitance per unit area of the diodes on n-type substrate is related to the SBH through the The capacitance per unit area of the diodes on n-type substrate is related to the SBH through the following relation [20]: following relation [20]: ˆ ˙ 11 11 kT ϕ ϕn ´ V ´ (1) “ ϕbn ´ ϕ (1) 2 SiεND 2qε q Cd2 the doping net doping concentration of the impurity; and ε the where V isisthethe bias voltage; where bias voltage; ND the net concentration of the impurity; and εSi the dielectric dielectric constant. The barrierwere heights were then determined the intercept of the straight constant. The barrier heights then determined from thefrom intercept of the straight lines onlines the on the voltage voltage axis. axis. The C-V C-Vand andI-VI-V characteristics of produced the produced B implants into the n-type The characteristics of the diodesdiodes with Bwith implants into the n-type substrate ˝ substrate processed byRTA MWA or RTA at 600 377 s°C 600 s in areFigure shown Figure The ϕbn isand extracted processed by MWA or at 377 C for arefor shown 4. in The ϕbn is4.extracted found and to be 0.94 0.87 eV (corresponding ϕbpand are0.25 0.18eV, andsince 0.25 ϕ eV, since ϕ bp 1.12 + ϕ bneV ≈ 1.12 eV for to befound 0.94 and 0.87 eVand (corresponding ϕbp are 0.18 + ϕ « for Si) bp bn Si) for the MWA and RTA diodes, respectively. According to the thermionic emission theory, the MWA and RTA diodes, respectively. According to the thermionic emission theory, the currentthe of current ofin the diode in can this be paper can beasdepicted as [21]: the diode this paper depicted [21]: ´ qϕϕ ¯ „ expˆ qV ˙ 1  (2) exp Jn “ A T exp ´ bn exp ´1 (2) kT kT ∗ is the Richardson’s constant; T the temperature; ϕ the barrier height; and V the bias where where is the Richardson’s constant; T the ϕbnthe thecurrent barrier height; V the bias voltage voltageA*applied. At the same voltage andtemperature; temperature, is the and negative exponential applied. At the same voltage and temperature, the current is the negative exponential function of ϕthe bn . function of ϕbn. This can well explain the observation that the leakage current at reverse bias and This can well explain that the leakage at reverse bias the forward current forward current whenthe theobservation bias voltage is below 0.35 Vcurrent of the MWA diode areand clearly lower than that when the bias voltage is below 0.35 V of the MWA diode are clearly lower than that of the RTA diode. of the RTA diode. The higher current of the MWA diode in the over-0.4 V regime should be The higher to current of theserial MWAresistance. diode in the V regime attributed to acome smaller serial attributed a smaller Theover-0.4 difference of theshould serial be resistance may from the ∗

˚ 2

contact between the probe and the pad or the substrate and the ground, as well as the diversity that may exist between the silicide formed by two ways of annealing. Nevertheless, the serial resistance

Materials 2016, 9, 315

5 of 10

resistance. difference Materials 2016,The 9, 315

of the serial resistance may come from the contact between the probe5 of and 10 the pad or the substrate and the ground, as well as the diversity that may exist between the silicide is negligible when theof voltage ranges from −1.0 V to V, as it is too small to sharewhen the voltage with formed by two ways annealing. Nevertheless, the0.35 serial resistance is negligible the voltage the junction. Furthermore, the factorto ofshare the MWA diodewith (1.027) smallerFurthermore, than that of the ranges from ´1.0 V to 0.35 V, as ideality it is too small the voltage the is junction. RTA diode (1.049), indicating the is MWA diode have higher electron which is ideality factor of the MWA diodethat (1.027) smaller thanmay that of the aRTA diode (1.049), SBH, indicating that consistent with the C-V characteristics. the MWA diode may have a higher electron SBH, which is consistent with the C-V characteristics.

Figure Figure 4. 4. Capacitance-voltage Capacitance-voltage (C-V) (C-V) and and current-voltage current-voltage (I-V) (I-V) characteristics characteristics of of diodes diodes annealed annealed with with 600 s on the n-type substrate. 600 s on the n-type substrate.

The SBH values of the produced diodes are shown in Figure 5 and listed in Table 2. The ideality The SBH values of the produced diodes are shown in Figure 5 and listed in Table 2. The ideality factors in Table 2 are calculated corresponding to the I-V data around the forward bias voltage of factors in Table 2 are calculated corresponding to the I-V data around the forward bias voltage of 0.15 V. 0.15 V. For both the n-type samples and the p-type samples, the MWA can obtain a SBH tuning effect For both the n-type samples and the p-type samples, the MWA can obtain a SBH tuning effect similar similar to that of RTP, while the required temperature ˝is ~100 °C lower. The temperature reduction to that of RTP, while the required temperature is ~100 C lower. The temperature reduction should should still be no less than 60 °C, even if the error of the measurement is taken into account. The still be no less than 60 ˝ C, even if the error of the measurement is taken into account. The detailed detailed analysis of the figure is as follows. For the RTA samples with 30-s annealing between 500 and analysis of the figure is as follows. For the RTA samples with 30-s annealing between 500 and 750 ˝ C, 750 °C, ϕbp on p-type substrate and ϕbn on n-type substrate can be tuned to a maximum of 1.06 eV and ϕbp on p-type substrate and ϕbn on n-type substrate can be tuned to a maximum of 1.06 eV and 0.96 eV, respectively, which is consistent with [6,8]. The observed fluctuation in the SBH at relatively 0.96 eV, respectively, which is consistent with [6,8]. The observed fluctuation in the SBH at relatively higher temperatures may be related to the roughness and nonuniformity of the poly-NiSi/Si higher temperatures may be related to the roughness and nonuniformity of the poly-NiSi/Si interface interface and/or possible fluctuations in the activation/deactivation of dopants when the tuning of and/or possible fluctuations in the activation/deactivation of dopants when the tuning of SBH reaches SBH reaches saturation level. At 500 °C and above, the RTA samples with 600-s annealing show saturation level. At 500 ˝ C and above, the RTA samples with 600-s annealing show slightly lower slightly lower SBH levels compared with the RTA samples with 30-s annealing for the n-type SBH levels compared with the RTA samples with 30-s annealing for the n-type substrates, which may substrates, which may be attributed to the NiSi/Si interface degeneration and deactivation of the B be attributed to the NiSi/Si interface degeneration and deactivation of the B dopants at the Si side dopants at the Si side with longer annealing time (deactivation behavior of B may be different from with longer annealing time (deactivation behavior of B may be different from that of As). For the RTA that of As). For the RTA samples with an As implant, a significant reduction of ϕbp is found when the samples with an As implant, a significant reduction of ϕbp is found when the temperature decreases temperature decreases from 500 to 414 °C. Similarly, for the RTA samples with a B implant, from 500 to 414 ˝ C. Similarly, for the RTA samples with a B implant, reduction of ϕbn is also clearly reduction of ϕbn is also clearly observed when the temperature decreases from 500 to 414 °C. observed when the temperature decreases from 500 to 414 ˝ C. However, for the MWA diodes annealed However, for the MWA diodes annealed at 414 °C, the ϕbp and ϕbn is found to be tuned to a at 414 ˝ C, the ϕbp and ϕbn is found to be tuned to a maximum of 1.06 eV and 0.95 eV, respectively, maximum of 1.06 eV and 0.95 eV, respectively, proving that the MWA method can tune the SBH to a proving that the MWA method can tune the SBH to a similar saturated level compared with the RTA similar saturated level compared with the RTA method. It is worth noting that the MWA samples method. It is worth noting that the MWA samples annealed as low as 377 ˝ C still demonstrate a annealed as low as 377 °C still demonstrate a sufficiently high ϕbp of 1.05 eV and ϕbn of 0.94 eV on the sufficiently high ϕ of 1.05 eV and ϕbn of 0.94 eV on the p-type and n-type substrates, respectively. p-type and n-type bp substrates, respectively.

Materials 2016, 9, 315 Materials 2016, 9, 315

6 of 10 6 of 10

(a)

(b)

Figure 5. Annealing temperature versus (a) the hole Schottky barrier height (SBH) (ϕbp) on the p-type Figure 5. Annealing temperature versus (a) the hole Schottky barrier height (SBH) (ϕbp ) on the p-type substrate; and (b) the electron SBH (ϕbn) on the n-type substrate. substrate; and (b) the electron SBH (ϕbn ) on the n-type substrate. Table 2. Effective SBH and ideality factor of each annealing condition. Table 2. Effective SBH and ideality factor of each annealing condition.

Implanted Ions/Substrate Implanted Ions/Substrate Annealing Time Temperature Arsenic/p-Type Boron/n-Type Arsenic/p-Type Boron/n-Type Technique (s) Temperature (°C)(˝ C) Annealing ϕ bp bn Ideality Factor ϕ Ideality Factor Time (s) Technique Ideality Factor Ideality Factor (@ −0.15 V) ϕbn (eV) (@ 0.15 V) ϕbp(eV) (eV) (eV) (@ 0.15 V) (@ ´0.15 V) 319 0.96 1.077 0.85 1.021 319 0.96 1.077 0.85 1.021 MWA 600 600 1.05 1.125 0.94 1.027 377377 1.05 1.125 0.94 1.027 MWA 414 1.06 1.074 0.95 1.025 414 1.06 1.074 0.95 1.025 377 0.88 1.024 0.86 1.049 377 0.88 1.024 0.86 1.049 414 0.97 1.092 0.90 1.050 0.97 1.092 0.90 1.050 500414 1.04 1.063 0.93 1.056 600 550 1.02 1.118 0.92 1.034 600 500 1.04 1.063 0.93 1.056 600 1.03 1.065 0.93 1.037 550 1.02 1.118 0.92 1.034 RTA 500 1.06 1.012 0.94 1.025 1.03 1.065 0.93 1.037 550600 1.01 1.029 0.93 1.018 600 1.03 1.059 0.95 1.025 RTA 500 1.06 1.012 0.94 1.025 30 650 1.04 1.043 0.95 1.043 700550 1.06 1.093 0.95 1.060 1.01 1.029 0.93 1.018 750 1.02 1.121 0.94 1.005 600 1.03 1.059 0.95 1.025 30 650 1.04 1.043 0.95 1.043 According to the first-principles calculation, substitutional dopant atoms within the first Si 700 1.06 1.093 0.95 1.060 monolayer nearby the NiSi/Si interface can induce electric dipoles across the interface, resulting 750 1.02 1.121 0.94 1.005 in the deformation of the energy band and modification of SBH [6,7]. Therefore, it can be inferred that, atAccording 414 ˝ C and compared with RTA, MWA is much more effective substituting to below, the first-principles calculation, substitutional dopant atoms at within the firstSiSi atoms with dopant atoms at the Si side and hence induce more effective electric dipoles across thein monolayer nearby the NiSi/Si interface can induce electric dipoles across the interface, resulting NiSi/Si interface, resulting in more SBH with AsoforSBH B dopants. The capability of tuning the deformation of the energy band andtuning modification [6,7]. Therefore, it can be inferredSBH that, between NiSi and Si at a significantly lower temperature using MWA may be ascribed to its selective at 414 °C and below, compared with RTA, MWA is much more effective at substituting Si atoms heating effect [8,9,12] dopant activation mechanisms Fordipoles RTA, the activation of with dopant atoms atand the unique Si side and hence induce more effective[10]. electric across the NiSi/Si dopants is aresulting purely thermally driven process; the activation of dopants is a combination of interface, in more SBH tuning withfor AsMWA, or B dopants. The capability of tuning SBH between a NiSi thermal effect and an additional unique non-thermal microwave effect. The non-thermal effect of and Si at a significantly lower temperature using MWA may be ascribed to its selective heating MWA in Si mayand be mainly the rotation and collision large the amount of dipoles (such as effect [8,9,12] unique caused dopant by activation mechanisms [10]. of Fora RTA, activation of dopants is a vacancy-interstitial point defects) under the alternating electromagnetic field, which can increase the purely thermally driven process; for MWA, the activation of dopants is a combination of a thermal interaction of neighboring dipoles and equivalently reduce the dopant activation energyin inSi effect andprobability an additional unique non-thermal microwave effect. The non-thermal effect of MWA Si.may Hence, MWA,caused the non-thermal effect may enhance the dopant activation at the be for mainly by the rotation and collision of a large amount of NiSi/Si dipolesinterface. (such as Asvacancy-interstitial a result, comparedpoint with RTA, the effective tuning of SBH can happen at significantly lower bulk-Si defects) under the alternating electromagnetic field, which can increase the temperatures for MWA. of neighboring dipoles and equivalently reduce the dopant activation energy interaction probability in Si. Hence, for MWA, the non-thermal effect may enhance the dopant activation at the NiSi/Si interface. As a result, compared with RTA, the effective tuning of SBH can happen at significantly lower bulk-Si temperatures for MWA.

Materials 2016, 9, 315

7 of 10

4. Conclusions Materials 2016, 9, 315

7 of 10

The MWA method has been successfully used to form NiSi/Si Schottky junction and effectively Conclusions tune 4. the SBH between NiSi and Si. Compared with conventional RTA method, MWA can obtain saturated The electron hole has SBHs at successfully significantlyused lower temperature. A highjunction hole SBH bp = 1.05 eV) MWAand method been to form NiSi/Si Schottky and(ϕ effectively and electron eV), i.e., electronwith SBHconventional (ϕbn = 0.07 RTA eV) and hole MWA SBH (ϕ = 0.18 eV), tune theSBH SBH (ϕ between NiSi and Si.low Compared method, can bn = 0.94 bp obtain ˝ C on saturatedwith electron andathole at p-type significantly lower temperature. high hole SBH (ϕbp = 1.05 eV) are achieved MWA 377SBHs and n-type substrates,Arespectively. The success of SBH ˝ and electron SBH (ϕ bn = 0.94 eV), i.e., low electron SBH (ϕ bn = 0.07 eV) and hole SBH (ϕ bp = 0.18 eV), tuning at sub-400 C via MWA opens the door of formation of metal source/drain and reduction are achieved MWA atduring 377 °C the on p-type and n-type substrates, respectively. success of SBH of silicide contactwith resistance back-end of process (BEOL) as well asThe application of MWA tuning at sub-400 °C via MWA opens the door of formation of metal source/drain and reduction of in future monolithic 3D sequential integration where low-temperature formation of source/drain silicide contact resistance during the back-end of process (BEOL) as well as application of MWA in and contacts is of high importance. More comprehensive research on the metal/semiconductor future monolithic 3D sequential integration where low-temperature formation of source/drain and contacts treated via MWA will be subsequently conducted using more test structures including circular contacts is of high importance. More comprehensive research on the metal/semiconductor contacts Transmission Line Measurement (TLM) [22]conducted and Schottky MOSFETs. treated via MWA will be subsequently usingbarrier more test structures including circular Transmission Line Measurement (TLM) [22] and Schottky barrier MOSFETs. Acknowledgments: This work was supported by the National Natural Science Foundation of China (61474028) and National S & T Project 02 (2013ZX02303-004). Acknowledgments: This work was supported by the National Natural Science Foundation of China (61474028)

Author Xiangbiao Zhou and Dongping Wu conceived and designed the experiments; andContributions: National S & T Project 02 (2013ZX02303-004). Xiangbiao Zhou, Chaochao Fu, Yan Wang performed the experiments; Xiangbiao Zhou, Peng Xu and Shi-Li Zhang Author Contributions: Xiangbiao Wureagents/materials/analysis conceived and designed thetools; experiments; analyzed the data; Ming Xu, Jun Luo andZhou Chaoand ZhaoDongping contributed Chaochao Fu, Xiangbiao Zhou,the Chaochao Dongping Wu wrote paper. Fu, Yan Wang performed the experiments; Xiangbiao Zhou, Peng Xu and Shi-Li Zhang analyzed the data; Ming Xu, Jun Luo and Chao Zhao contributed reagents/materials/analysis

Conflicts Interest:Fu, The authors Wu declare conflict tools;ofChaochao Dongping wroteno the paper. of interest. Conflicts Appendix A of Interest: The authors declare no conflict of interest.

Appendix A of temperature measurement is crucial in this experiment. The MWA facility uses The accuracy an infrared pyrometer read the temperature the sample, the RTP uses auses regular The accuracy ofto temperature measurementof is crucial in this while experiment. The system MWA facility thermal couple.pyrometer Hence, the key uncertainty willofbe MWA’s temperature profile. obtain the an infrared to read the temperature thethe sample, while the RTP system uses To a regular deviation of the temperature the be MWA process, a calibration system was built. thermal couple. Hence, themeasurement key uncertaintyofwill the MWA’s temperature profile. To obtain the As deviation of the temperature measurement of the MWA process, a calibration system was built. As was shown in Figure A1, the same model of infrared pyrometer as the one used in the MWA facility shown in Figure A1, the same model of infrared pyrometer as the one used in the MWA facility was used, and a regular S-type thermal couple was induced as a reference. The samples (n-type or p-type) used, and a regular S-type thermal as abackside reference. The samples (n-type or as described in the main text were put oncouple a heatwas unitinduced with their facing the infrared pyrometer p-type) as described in the main text were put on a heat unit with their backside facing the infrared located above. The heat unit consisted of a thermal plate and three square-shaped silicon wafers pyrometer located above. The heat unit consisted of a thermal plate and three square-shaped silicon with thicknesses of 0.5 mm. The upper two wafers were as close as possible except for only a narrow wafers with thicknesses of 0.5 mm. The upper two wafers were as close as possible except for only a slit for the mounting the thermal obtain optimum heat heat conduction from the narrow slit for the of mounting of the couple thermal to couple to obtain optimum conduction from theheat heatplate to theplate sample. successful contactcontact between the thermal couple andand thethe surface to theAsample. A successful between the thermal couple surfaceofofthe thesample sample was acquired, attributed to the equivalence of the diameter of the thermal couple and the thickness was acquired, attributed to the equivalence of the diameter of the thermal couple and the thicknessof the silicon ofwafer. the silicon wafer.

Figure A1. Schematic illustration of the temperature calibration system.

Figure A1. Schematic illustration of the temperature calibration system.

Materials 2016, 9, 315

8 of 10

Materials 2016, 9, 315

8 of 10

The The temperature temperature profile profile of of the the thermal thermal couple couple and and the the pyrometer pyrometer were were recorded recorded and and are are shown shown ˝ C and ended at a similar temperature after in Figure A2. The calibration started from around 170 in Figure A2. The calibration started from around 170 °C and ended at a similar temperature after ˝ C (a temperature level similar to the highest heating heating and and aa naturally naturally cooling cooling process. process. A A stable stable ~400 ~400 °C (a temperature level similar to the highest group group of of MWA MWA in in the the main main text) text) platform platform was was applied applied in in the the middle middle of of the the heating heating process. process. During During the whole calibration work, the pyrometer read a lower temperature than the thermal the whole calibration work, the pyrometer read a lower temperature than the thermal couple. couple. The The ˝ C and 30 ˝ C for the n-type maximum temperature differences of these two measurement were 43 maximum temperature differences of these two measurement were 43 °C and 30 °C for the n-type and and p-type p-type samples, samples, respectively. respectively. Considering Considering that that the the environment environment temperature temperature of of the the calibration calibration system higher than thatthat of the facility, the difference of the environment between system should shouldnot notbebe higher than of MWA the MWA facility, the difference of the environment the MWA equipment and the calibration system will not enlarge the deviation of the temperature between the MWA equipment and the calibration system will not enlarge the deviation of the measurement. Therefore, theTherefore, MWA’s temperature profile is underestimated, and the error should temperature measurement. the MWA’s temperature profile is underestimated, and not the ˝ ˝ surpass 43 C and 30 C for the n-type and p-type samples, respectively. error should not surpass 43 °C and 30 °C for the n-type and p-type samples, respectively.

(a)

(b)

Figure A2. A2. Temperature Temperature profile profile of of pyrometer pyrometer and and thermal thermal couple couple of of (a) (a) the the n-type n-type sample sample and and (b) (b) the the Figure p-type sample. p-type sample.

As the thermal couple was put next to the back side of the sample, a question may be raised As the thermal couple was put next to the back side of the sample, a question may be raised about about the calibration system: Will an obvious discrepancy in temperature exist between the two the calibration system: Will an obvious discrepancy in temperature exist between the two sides of the sides of the sample? A simple simulation using COMSOL Multiphysics (Stockholm, Sweden) was sample? A simple simulation using COMSOL Multiphysics (Stockholm, Sweden) was carried out to carried out to study the temperature difference that may exist between the two sides of the wafer. A study the temperature difference that may exist between the two sides of the wafer. A four-inch silicon four-inch silicon wafer was set in the model with the upper side defined as nickel and the backside wafer was set in the model with the upper side2 defined as nickel and the backside defined as silicon. A defined as silicon. A heat flux of 12,000 W/m was applied to the upper side as the heat source of the heat flux of 12,000 W/m2 was applied to the upper side as the heat source of the wafer. (This setup is wafer. (This setup is different from what actually happened in the MWA process because the whole different from what actually happened in the MWA process because the whole sample was heated by sample was heated by the microwave simultaneously; however, this setup can give out the largest the microwave simultaneously; however, this setup can give out the largest temperature diversity that temperature diversity that may exist between the two sides). The wafer was located in the middle of a may exist between the two sides). The wafer was located in the middle of a chamber and an air flow chamber and an air flow was set to simulate the nitrogen flow in the real MWA chamber. Heat radiation was set to simulate the nitrogen flow in the real MWA chamber. Heat radiation of the wafer was also of the wafer was also taken into consideration, with different emissivities for the two sides of the wafer taken into consideration, with different emissivities for the two sides of the wafer (0.3 for the nickel (0.3 for the nickel and 0.7 for the silicon). and 0.7 for the silicon). The overall temperature of the simulation of both the chamber and the wafer is shown in The overall temperature of the simulation of both the chamber and the wafer is shown in Figure A3. Figure A3. The highest temperature is located at the wafer and its vicinity. The zoomed The highest temperature is located at the wafer and its vicinity. The zoomed cross-sectional temperature cross-sectional temperature profile and the detailed value versus the depth from the surface of the profile and the detailed value versus the depth from the surface of the wafer are shown in Figure A4. wafer are shown in Figure A4. The temperature varies less than 0.1 °C throughout the thickness of The temperature varies less than 0.1 ˝ C throughout the thickness of the wafer. Hence, there will not be the wafer. Hence, there will not be obvious disparity between the two sides of the wafer according to obvious disparity between the two sides of the wafer according to the simulation. The measurement of the simulation. The measurement of the backside of the wafer can be regarded as a valid way to the backside of the wafer can be regarded as a valid way to monitor the temperature of the reacting monitor the temperature of the reacting zone in the sample. zone in the sample.

Materials 2016, 9, 315

9 of 10

Materials 2016, 9, 315 Materials 2016, 9, 315

9 of 10 9 of 10

Figure The simulationresult result of of heat heat transfer chamber andand the the wafer. Figure A3.A3. The simulation transferofofthe the chamber wafer. Figure A3. The simulation result of heat transfer of the chamber and the wafer.

Figure A4. (a) The temperature versus the depth from the surface of the wafer; (b) The zoomed

Figure A4. (a)view temperature versusprofile the depth from the surface of the wafer; (b) The zoomed cross-sectional of the temperature of thefrom wafer. Figure A4. (a) TheThe temperature versus the depth the surface of the wafer; (b) The zoomed cross-sectional view of the temperature profile of the wafer. cross-sectional view of the temperature profile of the wafer. References References 1. Shannon, J.M. Reducing the effective height of a Schottky barrier using low-energy ion implantation. References 1. Shannon, J.M. Reducing the effective height of a Schottky barrier using low-energy ion implantation. Appl. Phys. Lett. 1974, 24, doi:10.1063/1.1655220.

1. 2.

3.

4.

5.

Appl. J.M. Phys. Lett. 1974, Shannon, Reducing thedoi:10.1063/1.1655220. effective height of a Schottky barrier using M.; low-energy implantation. 2. Zhang, Z.; Pagette, F.; 24, D’Emic, C.; Yang, B.; Lavoie, C.; Zhu, Y.; Hopstaken, Maurer, S.;ion Murray, C.; 2. Guillorn, Zhang, Z.; Pagette, F.; D’Emic, C.; Yang, B.; Lavoie, C.; Zhu, Hopstaken, M.; Maurer, S.; Murray, C.; M.;1974, et al. 24. Sharp Reduction of Contact Resistivities byY.; Effective Schottky Barrier Lowering with Appl. Phys. Lett. [CrossRef] Guillorn, M.; et al. Sharp Reduction of Contact Resistivities by Effective Schottky Barrier Lowering with Silicides as Diffusion Sources. C.; IEEEYang, Electron Lett.C.; 2010, 31, Y.; 731–733. Zhang, Z.; Pagette, F.; D’Emic, B.;Device Lavoie, Zhu, Hopstaken, M.; Maurer, S.; Murray, C.; Silicides as Diffusion Electron L.; Device Lett. M.; 2010, 31, 731–733. 3. Luo, J.; Wu, D.P.; Qiu,Sources. Z.J.; Lu, IEEE J.; Hultman, Ostling, Zhang, S.L. On Different for with Guillorn, M.; et al. Sharp Reduction of Contact Resistivities by Effective SchottkyProcess BarrierSchemes Lowering 3. MOSFETs Luo, J.; Wu, D.P.;a Qiu, Z.J.; Lu, J.; Hultman, L.; Ostling, M.; Zhang, S.L. OnTrans. Different Process Schemes for with Controllable NiSi-Based Metallic Source/Drain. IEEE Electron Dev. 2011, 58, Silicides as Diffusion Sources. IEEENiSi-Based Electron Device Lett.Source/Drain. 2010, 31, 731–733. [CrossRef] MOSFETs with a Controllable Metallic IEEE Trans. Electron Dev. 2011, 58, 1898–1906. Luo, J.; Wu, D.P.; Qiu, Z.J.; Lu, J.; Hultman, L.; Ostling, M.; Zhang, S.L. On Different Process Schemes 1898–1906. 4. Larrieu, G.; Dubois, E.; Valentin, R.; Breil, N.; Danneville, F.; Dambrine, G.; Raskin, J.P.; Pesant, J.C. for with a Controllable NiSi-Based Metallic Source/Drain. IEEE Trans. Electron Dev. 2011, 4. MOSFETs Larrieu, G.; Dubois, E.; Valentin, R.;dopant-segregated Breil, N.; Danneville, F.; Dambrine, J.P.;inPesant, J.C. 58, Low temperature implementation of band-edge metallic G.; S/DRaskin, junctions thin-body 1898–1906. [CrossRef] Low temperature implementation of dopant-segregated band-edge metallic S/D junctions in thin-body SOI p-MOSFETs. In Proceedings of the 2007 IEEE International Electron Devices Meeting, Washington, SOIG.; p-MOSFETs. In Valentin, Proceedings ofBreil, the 2007 IEEE International Electron Devices Meeting, Washington, Larrieu, Dubois, E.; N.; Danneville, F.; Dambrine, G.; Raskin, J.P.; Pesant, J.C. Low DC, USA, 10–12 December 2007;R.; Volumes 1 and 2, pp. 147–150. DC, USA, 10–12 December 2007; Volumes 1 and 2, pp. 147–150. temperature of dopant-segregated band-edge S/D junctions in thin-body SOI 5. Connelly,implementation D.; Faulkner, C.; Grupp, D.E. Performance advantage of metallic Schottky source/drain in ultrathin-body 5. silicon-on-insulator Connelly, Faulkner, D.E. Performance of Schottky in ultrathin-body p-MOSFETs. InD.; Proceedings ofGrupp, the 2007 IEEE International Electron Devices Meeting, Washington, DC, USA, and C.; dual-gate CMOS. IEEE Trans.advantage Electron Dev. 2003, 50, source/drain 1340–1345. silicon-on-insulator and dual-gate CMOS. IEEE Trans. Electron Dev. 2003, 50, 1340–1345. 10–12 December 2007; Volumes 1 and 2, pp. 147–150. Connelly, D.; Faulkner, C.; Grupp, D.E. Performance advantage of Schottky source/drain in ultrathin-body silicon-on-insulator and dual-gate CMOS. IEEE Trans. Electron Dev. 2003, 50, 1340–1345. [CrossRef]

Materials 2016, 9, 315

6.

7.

8.

9.

10.

11.

12. 13. 14.

15. 16. 17. 18. 19. 20. 21. 22.

10 of 10

Qiu, Z.J.; Zhang, Z.; Ostling, M.; Zhang, S.L. A comparative study of two different schemes to dopant segregation at NiSi/Si and PtSi/Si interfaces for Schottky barrier height lowering. IEEE Trans. Electron Dev. 2008, 55, 396–403. [CrossRef] Yamauchi, T.; Nishi, Y.; Tsuchiya, Y.; Kinoshita, A.; Koga, J.; Kato, K. Novel doping technology for a 1 nm NiSi/Si junction with dipoles comforting Schottky (DCS) barrier. In Proceedings of the 2007 IEEE International Electron Devices Meeting, Washington, DC, USA, 10–12 December 2007; Volumes 1 and 2, pp. 963–966. Zhang, Z.; Qiu, Z.J.; Liu, R.; Ostling, M.; Zhang, S.L. Schottky-barrier height tuning by means of ion implantation into preformed silicide films followed by drive-in anneal. IEEE Electron Device Lett. 2007, 28, 565–568. [CrossRef] Yamaguchi, T.; Kawasaki, Y.; Yamashita, T.; Yamamoto, Y.; Goto, Y.; Tsuchimoto, J.; Kudo, S.; Maekawa, K.; Fujisawa, M.; Asai, K. Low-Resistive and Homogenous NiPt-Silicide Formation using Ultra-Low Temperature Annealing with Microwave System for 22 nm-node CMOS and beyond. In Proceedings of the 2010 IEEE International Electron Devices Meeting, San Francisco, CA, USA, 6–8 December 2010; pp. 26–26. Hu, C.; Xu, P.; Fu, C.C.; Zhu, Z.W.; Gao, X.D.; Jamshidi, A.; Noroozi, M.; Radamson, H.; Wu, D.P.; Zhang, S.L. Characterization of Ni(Si,Ge) films on epitaxial SiGe(100) formed by microwave annealing. Appl. Phys. Lett. 2012, 101. [CrossRef] Lee, Y.J.; Cho, T.C.; Chuang, S.S.; Hsueh, F.K.; Lu, Y.L.; Sung, P.J.; Chen, H.C.; Current, M.I.; Tseng, T.Y.; Chao, T.S.; et al. Low-Temperature Microwave Annealing Processes for Future IC Fabrication—A Review. IEEE Trans. Electron Dev. 2014, 61, 651–665. [CrossRef] Lin, Y.H.; Tsai, Y.H.; Hsu, C.C.; Luo, G.L.; Lee, Y.J.; Chien, C.H. Microwave Annealing for NiSiGe Schottky Junction on SiGe P-Channel. Materials 2015, 8, 7519–7523. [CrossRef] Xu, P.; Fu, C.C.; Hu, C.; Zhang, D.W.; Wu, D.P.; Luo, J.; Zhao, C.; Zhang, Z.B.; Zhang, S.L. Ultra-shallow junctions formed using microwave annealing. Appl. Phys. Lett. 2013, 102. [CrossRef] Luo, J.; Qiu, Z.J.; Zha, C.L.; Zhang, Z.; Wu, D.P.; Lu, J.; Akerman, J.; Ostling, M.; Hultman, L.; Zhang, S.L. Surface-energy triggered phase formation and epitaxy in nanometer-thick Ni1´x Ptx silicide films. Appl. Phys. Lett. 2010, 96. [CrossRef] Cichon, S.; Machac, P.; Barda, B.; Machovic, V.; Slepicka, P. Raman study of Ni and Ni silicide contacts on 4H- and 6H-SiC. Thin Solid Films 2012, 520, 4378–4388. [CrossRef] Li, F.; Lustig, N.; Klosowski, P.; Lannin, J.S. Disorder-Induced Raman-Scattering in NiSi2 . Phys. Rev. B 1990, 41, 10210–10213. [CrossRef] Iwai, H.; Ohguro, T.; Ohmi, S. NiSi salicide technology for scaled CMOS. Microelectron. Eng. 2002, 60, 157–169. [CrossRef] Sze, S.M. VLSI Technology, 2nd ed.; McGraw-Hill: New York, NY, USA, 1988; pp. 286–288. Jiang, Y.L.; Agarwal, A.; Ru, G.P.; Qu, X.P.; Poate, J.; Li, B.Z.; Holland, W. Nickel silicidation on n and p-type junctions at 300 degrees C. Appl. Phys. Lett. 2004, 85, 410–412. [CrossRef] Schroder, D.K. Semiconductor Material and Device Characterization, 3rd ed.; Wiley-IEEE Press: Hoboken, NJ, 2006; pp. 161–162. Sze, S.M.; Ng, K.K. Physics of Semiconductor Devices, 3rd ed.; Wiley-Interscience: Hoboken, NJ, USA, 2007; pp. 154–157. Firrincieli, A.; Martens, K.; Rooyackers, R.; Vincent, B.; Rosseel, E.; Simoen, E.; Geypen, J.; Bender, H.; Claeys, C.; Kittl, J.A. Study of ohmic contacts to n-type Ge: Snowplow and laser activation. Appl. Phys. Lett. 2011, 99. [CrossRef] © 2016 by the authors; licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC-BY) license (http://creativecommons.org/licenses/by/4.0/).