SILICON CARBIDE SCHOTTKY AND PIN RECTIFIERS

12 downloads 0 Views 1MB Size Report
M. Bassler, V. Afanasev, G. Pensl and A.M. Schulz, Microelectron. Eng., 48 257 ... J. Crofton, L. Beyer, J.R. Williams, E.D. Luckowski, S.E. Mahoney and J.M..
SILICON CARBIDE SCHOTTKY AND P-I-N RECTIFIERS

By SAURAV NIGAM

A THESIS PRESENTED TO THE GRADUATE SCHOOL OF THE UNIVERSITY OF FLORIDA IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF MASTER OF SCIENCE UNIVERSITY OF FLORIDA 2003

Copyright 2003 by Saurav Nigam

To my parents, my fiancée, my brother and my friends for their endless love and support.

ACKNOWLEDGMENTS The past year has been one of the most crucial years of my life because for the first time in my professional career, I was introduced to an exciting realm of microelectronics. I would like to thank my advisor, Prof. Fan Ren, for giving me this golden opportunity to join his research group. His commitment to provide world-class facilities in his laboratory, engage students in the cutting-edge research and above all to provide excellent guidance in all the spheres of life, both professional and personal, is truly exceptional. Needless to mention, his extreme hard work and determination will always act as a source of inspiration to me and instill in me the confidence to face every adversity in life. He has always been able to find some time out of his extremely busy schedule to help me to steer my efforts in the right direction. I will always look forward to him as my true mentor. I am also indebted to the other members of my advisory committee: Steve Pearton and Tim Anderson. Their significant contributions to this work are greatly appreciated. During the collaboration with Prof. Steve Pearton’s and Prof. Cammy Abernathy’s research groups, I had an opportunity to develop interpersonal skills, emphasizing team efforts and it was here that I came across some of the most remarkable people, like Brent Gila and Mark, that I have ever known. I would like to thank Brent for always lending me a helping hand whenever I needed him and for his perspicacious views especially during the Wednesday meetings. I

iv

will also always cherish nice little discussions with Mark, who has provided me his considerable time and energy to help me to set up the dicing saw. I would also like to thank Ben Luo not just for providing the guidance in research but also for providing his invaluable advice and guidance. I will always cherish those moments when he would come up with his nice little humorous comments that would take away all the stress after the day’s work. I would always count on him as a truly sincere friend to seek for the right guidance. I would also like to thank Rishabh for his support and care. He has been patient in providing me his valuable time to gain hands-on experience with various equipment together with some delicious food and recipes. I have also learned a lot from Jihyun, who has collaborated most closely with my research. I would also like to thank Yoshi for providing me help and support and always showing a great deal of concern. His friendship is one of the greatest gifts to me. Kelly, Kwang and Kyu-pil have always been kind enough to lend their helping hand with the research. I would like to thank Dennis Vince and Jim Hinnat of the Chemical Engineering Department’s machine shop for all their help. I would also like to thank the staff in the Chemical Engineering Department for all their administrative help. They are Peggy-Jo Daugherty, Sonja Pealer, Santiago, Nancy Krell, Janice Harris and Debbie Sadoval. Their assistance allowed me to focus on research. I would like to thank my parents, my brother and my fiancée for their endless love, care and support. They have provided me the strength and inspiration to continue my studies at the University of Florida. This acknowledgement cannot be complete without thanking my roommates Gopal, Nori, Sudeep and Archit, who have been very understanding and caring throughout my stay at Gainesville.

v

TABLE OF CONTENTS page ACKNOWLEDGMENTS ................................................................................................. iv LIST OF TABLES........................................................................................................... viii LIST OF FIGURES ........................................................................................................... ix ABSTRACT...................................................................................................................... xii CHAPTER 1

INTRODUCTION .........................................................................................................1 1.1 Background ............................................................................................................. 1 1.2 Current Status of SiC Power Technology............................................................... 4 1.3 SiC Semiconductor Devices for Power Applications ............................................. 7 1.3.1 Material Availability and Quality ................................................................... 8 1.3.2 SiC Processing Technology ............................................................................ 9 1.3.2.1 Ion implantation ...................................................................................10 1.3.2.2 Oxides on SiC ......................................................................................11 1.3.2.3 Contacts................................................................................................12 1.3.2.4 Edge termination and passivation ........................................................13

2 SILICON CARBIDE SCHOTTKY RECTIFIERS .....................................................14 2.1 Experimental Characteristics of 4H-SiC Schottky Rectifiers............................... 14 2.1.1 Introduction ................................................................................................... 14 2.1.2 Experimental Methods .................................................................................. 15 2.1.3 Results and Discussion.................................................................................. 17 2.2 Ni Contacts to N-Type 4H-SiC............................................................................. 26 2.3 Stability of SiC Schottky Rectifiers to Rapid Thermal Annealing ....................... 27 2.3.1. Introduction .................................................................................................. 27 2.3.2 Experimental Methods .................................................................................. 29 2.3.3 Results and Discussion.................................................................................. 29 2.3.4 Summary and Conclusions............................................................................ 32 3 IRRADIATION AND PASSIVATION EFFECTS ON 4H-SiC RECTIFERS...........38 3.1 High Energy Proton Irradiation Effects on SiC Schottky Rectifiers .................... 38 3.1.1 Introduction ................................................................................................... 38 vi

3.1.2 Experimental Methods .................................................................................. 39 3.1.3 Results and Discussion.................................................................................. 39 3.2 Influence of PECVD of SiO2 Passivation Layers on 4H-SiC Schottky Rectifiers41 3.2.1 Introduction ................................................................................................... 41 3.2.2 Experimental Methods .................................................................................. 45 3.2.3 Results and Discussion.................................................................................. 45 3.3 Effect on 4H-SiC Schottky Rectifier of Ar Discharges Generated in a Planar Inductively Coupled Plasma Source ..................................................................... 47 3.3.1 Introduction ................................................................................................... 47 3.3.2 Experimental Methods .................................................................................. 51 3.3.3 Results and Discussion.................................................................................. 51 3.3.4 Summary and Conclusions............................................................................ 54 4 JUNCTION TERMINATION EXTENSION GEOMETRY OF SiC RECTIFIERS ..61 4.1 Influence of Edge Termination Geometry on Performance of 4H-SiC P-i-N Rectifiers ............................................................................................................... 62 4.1.1 Introduction ................................................................................................... 62 4.1.2 Experimental Methods .................................................................................. 63 4.1.3 Results and Discussion.................................................................................. 63 4.2 Effect of Contact Geometry on 4H-SiC Schottky Rectifiers with Junction Termination Extension.......................................................................................... 65 4.2.1 Introduction ................................................................................................... 65 4.2.2 Experimental Methods .................................................................................. 65 4.2.3 Results and Discussion.................................................................................. 72 4.3 Role of Device Area, Mesa Length and Metal Overlap Distance on Breakdown Voltage of 4H-SiC P-i-N Rectifiers...................................................................... 74 4.3.1 Introduction ................................................................................................... 74 4.3.2 Experimental Methods .................................................................................. 74 4.3.3 Results and Discussion.................................................................................. 82 4.3.4 Summary and Conclusions............................................................................ 84 LIST OF REFERENCES...................................................................................................93 BIOGRAPHICAL SKETCH ...........................................................................................102

vii

LIST OF TABLES page

Table 1-1

Physical properties of important semiconductors for high voltage power devices. .5

1-2

Normalized unipolar figures of merit of important semiconductors for high voltage power devices..............................................................................................5

viii

LIST OF FIGURES page

Figure 1-1

Comparison of the ideal breakdown voltage of Si and SiC devices for different doping levels. ...........................................................................................................2

1-2

Comparison of the blocking layer thickness as a function of the ideal breakdown voltage for SiC and Si. .............................................................................................3

2-1

Cross-section of SiC Schottky rectifiers (top) and photograph of completed 2 inch diameter wafer (bottom).................................................................................16

2-2

Reverse I-V characteristics at 25 0C from Schottky rectifiers with different contact diameters. ..................................................................................................18

2-3

Reverse I-V characteristic from 154 µm diameter rectifier (top) and map of reverse breakdown voltage from a quarter of a 2 inch diameter wafer (bottom). .19

2-4

Comparison of breakdown voltage obtained from simulations and the fabricated devices....................................................................................................................21

2-5

Calculated reverse breakdown voltage as a function of epilayer doping. ..............23

2-6

Forward I-V characteristics in linear (top) and log (bottom) scales.......................24

2-7

Specific on-resistance as a function of breakdown voltage....................................25

2-8

Contact resistivity of Ni ohmic contact on n+ 4H-SiC as a function of annealing temperature (top), annealing time (center) and annealing ambient (bottom). .......28

2-9

Forward I-V characteristics as a function of anneal temperature for 60 sec anneals....................................................................................................................33

2-10

Change in RON as a function of anneal temperature for 60 sec anneals. ................34

2-11

Forward I-V characteristics (top) and change in VF (center) and RON (bottom) as a function of anneal time at 900˚C. ...................................................................35

2-12

Optical micrographs of Ni contacts before (top) and after annealing at 1000˚C for 60 sec (center) or 120 sec (bottom)..................................................................36

ix

2-13

Change in VF (top) and RON (bottom) as a function of measurement temperature after 900˚C, 60 sec anneals. ...................................................................................37

3-1

Reverse I-V characteristics from 4H-SiC Schottky rectifiers before and after proton irradiation at a dose of 5 x 109 cm-2 (top) and percentage increase in reverse leakage at –250V (bottom). .......................................................................42

3-2

Forward I-V characteristics from 4H-SiC Schottky rectifiers before and after proton irradiation at a dose of 5 x 109 cm-2 (top) and percentage decrease in forward current at 2V (bottom)..............................................................................43

3-3

Numerical change in values of n (top), RON (center) and VF (bottom) as a function of proton fluence......................................................................................44

3-4

Percentage change in VB as a function of plasma power (top), process pressure (center) and N2O content (bottom) during SiO2 deposition...................................48

3-5

Percentage change in VF as a function of plasma power (top) and process pressure (bottom) during SiO2 deposition..............................................................49

3-6

Percentage change in RON as a function of plasma power (top), N2O content (center) and process pressure (bottom) during SiO2 deposition. ...........................50

3-7

Planar coil ICP reactor............................................................................................56

3-8

Percentage change in VB (top) and RON (bottom) of 4H-SiC rectifiers as a function of ICP source power. ...............................................................................57

3-9

Percentage change in VF (top) and n (bottom) of 4H-SiC rectifiers as a function of ICP source power...............................................................................................58

3-10

Percentage change in VB (top) and RON (bottom) of 4H-SiC rectifiers as a function of process pressure...................................................................................59

3-11

Percentage change in VF (top) and n (bottom) of 4H-SiC rectifiers as a function of process pressure.................................................................................................60

4-1

P-i-N rectifier..........................................................................................................66

4-2

Forward I-V characteristics of p-i-n rectifier. ........................................................67

4-3

Reverse I-V characteristics from p-i-n rectifiers as a function of metal overlap distance onto mesa. ................................................................................................68

4-4

Variation of VB with metal overlap distance for p-i-n rectifiers. ...........................69

4-5

Reverse I-V characteristics from p-i-n rectifiers as a function of mesa length. .....70

x

4-6

Variation of VB with mesa length for p-i-n rectifiers with fixed metal overlap distance of 4 µm.....................................................................................................71

4-7

Schottky rectifier. ...................................................................................................75

4-8

Forward I-V characteristics of 0.64 mm2 rectifier..................................................76

4-9

Reverse I-V characteristics of 0.04 mm2 rectifiers with different contact shape. ..77

4-10

Reverse I-V characteristics of rectifiers with oval shaped contacts of different area.........................................................................................................................78

4-11

Reverse I-V characteristics of rectifiers with oval shaped contacts (0.04 mm2), as a function of extent of metal overlap.................................................................79

4-12

Reverse I-V characteristics of rectifiers with oval-shaped contacts (0.04 mm2), as a function of extent of metal overlap.................................................................80

4-13

Variation of VB with extent of metal overlap for different JTE lengths.................81

4-14

Reverse I-V characteristics of p-i-n rectifiers as a function of active area.............86

4-15

VB as a function of diode area for p-i-n rectifiers with mesa length 20 µm and metal overlap 4 µm. ...............................................................................................87

4-16

Reverse I-V characteristics of p-i-n rectifiers as a function of metal overlap distance. .................................................................................................................88

4-17

VB as a function of metal overlap length for p-i-n rectifiers with area 0.04 mm2 and zero mesa length..............................................................................................89

4-18

Reverse I-V characteristics of p-i-n rectifiers as a function of mesa length...........90

4-19

VB as a function of mesa length for p-i-n rectifiers with area 0.04 mm2 and zero metal overlap length...............................................................................................91

4-20

Forward I-V characteristics. ...................................................................................92

xi

Abstract of Thesis Presented to the Graduate School of the University of Florida in Partial Fulfillment of the Requirements for the Degree of Master of Science SILICON CARBIDE SCHOTTKY AND P-I-N RECTIFIERS By Saurav Nigam May 2003 Chair: Fan Ren Major Department: Chemical Engineering There has been a significant research interest in silicon carbide (SiC) over the past few years as a base material system for high frequency and high power semiconductor devices. SiC Schottky diodes have shown an excellent performance for the realization of power diodes for fast switching applications with nearly negligible power loss. In spite of extremely high switching speeds, Schottky diodes suffer from high leakage current. P-i-N diodes offer low leakage currents but show reverse recovery charge during switching and have a large junction forward voltage drop due to the wide bandgap of 4H-SiC. However, both the diodes have shown a considerable improvement in maximum breakdown voltage values compared to that of planar junctions, with the employment of proper edge termination methods. The dc performance of SiO2 dielectric overlap-terminated 4H-SiC Schottky rectifiers was simulated for different drift layer doping levels and dielectric thickness. The devices were then fabricated with different Schottky contact diameters and the dc

xii

results compared to the simulations. There was a strong dependence of reverse breakdown voltage (VB) on contact diameter (φ) ranging from –750 V for 100 µm φ to – 440 V for 1000 µm. The reverse leakage current scaled with diode diameter, indicating that the surface contributions are dominant. The specific on-state resistance was ~0.36 mΩcm2, close to the theoretical minimum, with a forward turn-on voltage of 1.82 V at 100 A.cm-2. The contact resistivity of back-side Ni contacts annealed at 970 0C was ~1.2 x 10-6 Ωcm2. The effect of deposition conditions of plasma enhanced chemical vapor deposition (PECVD) SiO2 layers and inductively coupled Ar plasmas on the electrical properties of 4H-SiC Schottky rectifiers was also studied. For the former, the changes in reverse breakdown voltage (VB), forward turn-on voltage (VF) and on-state resistance (RON) were ≤ 20% over a broad range of plasma conditions and show that 4H-SiC is relatively resistant to changes induced by the ion bombardment and hydrogen flux present during PECVD of dielectrics to surface passivation. In the latter, the VB increased by increase in both incident ion energy and ion flux. 4H-SiC P-i-N rectifiers with SiO2 passivated mesa edge termination showed forward current characteristics dominated by recombination at low bias (n~1.97) and diffusion at high voltages (n~1.1). The forward turn-on voltage was ~4V, with a specific on-state resistance of 15 mΩcm2, on/off current ratio of 1.5x105 at 3V/-450V and figureof-merit, VB2/RON, of 13.5 MWcm-2. The mesa extension distance did not have a strong impact on reverse breakdown voltage.

xiii

CHAPTER 1 INTRODUCTION 1.1 Background Silicon carbide (SiC) has shown outstanding potential for high power, high temperature, high frequency and high voltage devices used in advanced communications and radar system sensor and control systems, utility power switching and traction motor control. The material has excellent physical and electrical properties such as extremely high thermal conductivity (up to 4.9 W/cmK), high breakdown electric field (2-4 x 106 V/cm), a wide bandgap (3.26 eV for 4H-polytype) and high electron saturation velocity (2 x 107 cm/s) as shown in Table 1-1 [1]. These characteristics result in significantly higher current densities, operating temperatures and breakdown voltage than comparable Si devices and in lower switching and on-state losses. A comparison of ideal breakdown voltages versus blocking layer doping concentration (Figure 1-1) suggests that the more highly doped blocking layer (more than 10 times higher) provides lower resistance for SiC because more majority carriers are present than for comparably rated Si devices. A comparison of voltage blocking layer thickness for a given breakdown voltage (Figure 1-2) shows a thinner blocking layer (n~5 x 1015 cm-3) of SiC devices (1/10th that of Si devices) also contribute to the lowering of specific on-resistance by a factor of 10. The combination of 1/10th the blocking layer thickness with 10 times the doping concentration can yield a SiC device with a factor of 100 advantage in resistance compared to that of Si devices.

1

Ideal breakdown voltage (V)

2

4

10

SiC 3

10

Si 2

10

1

10

14

10

15

10

16

10

-3

Doping of the blocking layer (cm ) Figure 1-1 Comparison of the ideal breakdown voltage of Si and SiC devices for different doping levels.

Width of voltage blocking layer (µm)

3

3

10

Si 2

10

SiC 1

10

0

10

3

10

4

10

Ideal breakdown voltage (V)

Figure 1-2 Comparison of the blocking layer thickness as a function of the ideal breakdown voltage for SiC and Si.

4 Several figures of merit, specifically to quantify the intrinsic performance potentials of unipolar and bipolar devices, have been proposed [2-5]. The most important material parameter is the avalanche electric field, followed by thermal conductivity and carrier mobility. The unipolar figures of merit are shown in Table 1-2 [1] where SiC and AlN unipolar devices have been projected to have intrinsic device improvement of 80 to 30000 times over conventional Si [4]. Also, bipolar devices, such as pin junction rectifier and GTO thyristors, are expected to have lower total power loss when the switching frequencies exceed 1 and 30 kHz respectively [5]. Here, it is worth pointing out that BN is the best among the Group III-nitrides for power devices because of its high thermal conductivity and indirect bandgap. Furthermore, due to the higher carrier mobility and more isotropic nature of its properties, 4H-SiC has become the polytype of choice [6]. 1.2 Current Status of SiC Power Technology The overall goal for power electronic circuits is to reduce power losses, volume, weight, and, costs of the system [7]. These factors become significant for applications in future military and commercial ships especially electric propulsion. The first SiC based device was a light emitting diode fabricated in 1907 by H. J. Round. However, a more intensive silicon carbide semiconductor material and device development has been underway for nearly 35 years in United States, the former Soviet Union, Europe and Asia. Only in the past 15 years with the input of substantial funding from the US DOD community (BMDO, DARPA, and ONR) have we seen the development of SiC wafers industry in the US able to produce single crystal substrates with lower defect density capable of sustaining device development programs [8]. Today, there are three suppliers of SiC wafers in US (CREE, Sterling and Litton Airtron), one in Europe and one in Japan with additional companies evaluating the market potential [8]. Within the last five years,

5

Table 1-1 Physical properties of important semiconductors for high voltage power devices.

Table 1-2 Normalized unipolar figures of merit of important semiconductors for high voltage power devices.

6 commercial devices and applications have emerged. Specifically, SiC is used for blue and green LEDs, Northrop-Grumman makes Static Induction Transistors for internal applications, and CREE has announced their recent SiC Zero Recovery™ Rectifiers. Due to its exceptional switching speed, a high operational temperature and a high breakdown electric field, SiC is having a profound impact on the design, topology and circuits used in the power electronics especially where space weight, power density and thermal management issues dominate as in mobile platforms; on aircraft, on vehicles and especially on ships. There have been many funded programs carried out at universities, industry and government laboratories to commercialize SiC power switching devices. These programs are designed to address device processing and fabrication technology, device physics and design, packaging and applications. The most recent results in Schottky and PiN diodes demonstrated exceptional switching speeds with little or no ringing. When SiC diodes were packaged with Si switches to form a simple hybrid inverter circuit, nearly all the stored energy was eliminated due to the fast turn-off/turn-on SiC diodes [8]. At this time, US has a large but distribute program in SiC power device technology, sustained with DOD programs at universities and larger companies such as GE and Northrop Grumman. Traditional semiconductor solid-state switch manufacturers are now actively pursuing this technology. On the other hand, the more integrated European power industry, ABB and Siemens are actively pursuing research in SiC technology at all levels in an internally coordinated manner. In Asia, Japan has an active research program in SiC power technology that is now becoming focused under a new initiative [8].

7 1.3 SiC Semiconductor Devices for Power Applications Silicon carbide offers significant advantages for power-switching devices because the critical field for avalanche breakdown is about ten times higher than in silicon. SiC power devices have made remarkable progress in the past five years, demonstrating currents in excess of 100 A and blocking voltages in excess of 19000 V [9]. Over the past decade a number of new SiC-based power switching devices have been demonstrated such as GTOs, MOSFETs, pn diodes and schottky diodes with results approaching or exceeding the theoretical limits of Si. However, there is significant difference between demonstrating prototype devices and establishing an economically viable commercial product. Silicon has proven to be an extremely difficult material to displace, largely because of economic factors, and it remains to be seen whether SiC can accomplish what other semiconductor materials have failed to do. There are significant new challenges emerging, both in material science and device design as the SiC technology is developed. One of the unique features of SiC is its high thermal stability compared to silicon. This has both advantages as well as disadvantagesan advantage because of improved reliability and higher temperature operability, and a disadvantage because many of the typical fabrication processes either do not occur at all in SiC or require extremely high temperatures. The most challenging aspect of the thermal stability is the fact that due to the phase equilibrium in the Si-C system, SiC does not melt, but instead gradually sublimes at temperatures above 2000 K. This makes it impossible to form large single-crystal ingots by pulling a seed crystal from a melt, as in Czochralski process that produces 200-300 mm diameter silicon ingots. Instead a modified sublimation process that is presently limited to 100 mm diameter ingots forms SiC crystals. The process is expensive and difficult and SiC wafer costs are astronomical

8 compared to silicon. However, the advantages of SiC power devices are so great that the higher cost of the starting material can still be offset in certain cases, allowing SiC to compete directly with silicon in specialized device markets. The evolution of SiC power-switching technology can be divided into three phases: prototype device demonstration, device scale-up, and commercial production. Many types of SiC power devices are well along in the prototype demonstration phase and several have demonstrated performance measures far superior to silicon. These include rectifiers with blocking voltages above 19 kV [10], power metal-oxide-semiconductor field-effect transistors (MOSFETs) [11], and bipolar junction transistors (BJTs) [12] with performance figures 100 times higher than in silicon, and gate turn-off (GTO) thyristors blocking over 3 kV and switching over 60 kW. Several of these devices are in the scaleup phase, with terminal currents in excess of 100 A already demonstrated in single-device packaged rectifiers [13]. 1.3.1 Material Availability and Quality SiC has a large number of different crystallographic forms or poly-types. Of these, 6H-SiC has the most developed growth technology on account of its relatively large volume usage as a substrate for GaN blue LEDs. However, 4H-SiC is the preferred material for many power applications owing to its nearly ten times higher on-axis mobility compared to 6H-SiC. At present commercial 4H-SiC wafers are available in sizes up to 50 mm diameter while 6H-SiC wafers are available in sizes up to 75mm diameter, with 100 mm at the research stage. Substrates are available in both low resistivity (n- and p-type) and semi-insulating forms [14]. However, the moderate to high resistivity substrates desirable for high voltage devices is not available. Devices are therefore, fabricated on homoepitaxial layers, which are routinely grown to thicknesses of

9 over 100 µm with doping densities as low as 1014 cm-3 using hot wall chemical vapor deposition (CVD) [15]. High-level carrier lifetimes for this material are typically of the order of several hundred nanoseconds [16] making it suitable for the fabrication of devices with voltage blocking capabilities approaching 10 kV. Historically, the major difficulty with SiC has been the presence of micropipes in the substrates and epilayers. It is generally conceded that micropipes are the major obstacles in the production of high-performance SiC devices. Micropipes are defects unique to the growth of SiC and they are physical holes that penetrate through the entire crystal. They are replicated into the device epitaxial layers and become “killer” defects if they intersect the active region of SiC devices. However, the reports on material growth with micropipe densities as low as 0.1/cm2 at research level (reduced from over 1000/cm2 in just a few years) [14], indications are that SiC is now adequate to fabricate devices several millimeters square with reasonable yield [17, 18]. Indications are that a dislocation density of less than 103 cm-2 is desirable for fabrication of power devices [18] (current values are typically around 104 cm-2). A further difficulty concerns the uniformity of epilayer doping and thickness across the wafer (typical results show 4 % standard deviation in doping) and the uniformity of doping between runs (typically 40%) [14]. 1.3.2 SiC Processing Technology SiC has a relatively mature processing technology. All the basic process steps, such as doping (by implantation and during epitaxy), etching (plasma techniques), oxide growth, Schottky and ohmic contacts have been successfully demonstrated [19]. There

10 are, however, several key processing issues that currently limit the performance of fabricated devices. 1.3.2.1 Ion implantation Ion implantation is employed widely for local p-type and n-type doping of SiC. The most frequently used implanted ions are aluminum (Al) and boron (B) for p-type, nitrogen (N) and phosphorous (P) for n-type. Key issues in SiC implantation technology include the reduction of lattice damage occurring during implantation, successful electrical activation of the dopants and preservation of good surface morphology. Performing the implantation at elevated temperature is a common way to reduce the lattice damage and reduce the requirements for subsequent annealing [20]. Typical implantation temperatures are: 400 oC for Al [21], 500 oC for N [22], 800 oC for P [23]. These temperatures are sufficiently high to obtain good electrical conductivity after annealing but not so high as to cause surface dissociation and/or large vacancy clusters [24]. The annealing condition must be precisely determined, both for recording the crystal and diffusing the dopants into substantial sites. In addition, evaporation of both silicon and dopants must be avoided if the surface stoichiometry is to be preserved. This is particularly important in cases where the surface layer forms an active part of the device, for example in MOSFET and MESFET channel regions. There are two main approaches to preserve the surface: (i) material encapsulation with AlN, graphite [25]; (ii) increased Si partial pressure in the furnace reactor, either with silane gas [26] or with SiC coated pieces [27]. Several authors have given results for optimum electrical activation [28-30]. In all cases, it appears that good electrical activation is only possible if the annealing temperature exceeds 1550 oC.

11 1.3.2.2 Oxides on SiC One of the principal benefits of silicon carbide is that it oxidizes to form a stable surface layer of silicon dioxide releasing carbon dioxide in the process [31]. However, the detailed properties of the oxide and in particular the interface between the SiC and the SiO2 are significantly different from Si [31]. The oxidation rate is crystal-orientation dependent and is far slower on the Si face than the C face, though, in general, much better properties are found for the oxide on the Si face. Oxidation temperature are normally around 1100 oC and unlike Si, a post oxidation anneal in a hydrogen ambient is usually reported to have little effect at reducing interface state density [32]. Interface state density on p-type material can be reduced to levels below 1011 cm-2eV-1 in the lower half of the gap by the use of re-oxidation anneal below 1000 oC in a wet ambient [33]. However, this treatment does not seem to improve the density of states in the upper half of the gap [34]. Interface state densities on the 4H polytype rise very rapidly towards the conduction band edge, typically exceeding 1013 cm-2eV-1, whereas on 6H the density is an order of magnitude lower. This has been ascribed to a carbon related acceptor that is located just below the conduction band edge for 4H but within the conduction band for 6H [35]. These defects have not been successfully removed by any standard surface treatment or post oxidation anneal. The best N channel inversion mode MOSFETs fabricated on 6H show electron mobilities of ~100 cm2/Vs with a negative temperature coefficient, whereas 4H shows mobilities of at best 25 cm2/Vs or lower [36, 37]. The fluctuation in potential resulting from the charge in the interface states near the conduction band edge appear to be responsible for these low values of mobility, particularly for 4H [38].

12 The breakdown and reliability properties of SiO2 are crucial for all MOS devices, but unfortunately the situation is not as favorable as it is for Si. The dielectric constant of SiC is ~10 whereas it is ~3.9 for SiO2, so any normal surface field will be ~2.5 times higher in the oxide than the SiC. Hence to gain the full benefit of the 2.5 MV/cm breakdown field of SiC, the oxide must withstand 6.25 MV/cm, which is higher than is reliably usable, even on silicon [39]. High field stressing of oxides has shown that oxides grown in a wet ambient, breakdown at lower fields than dry grown oxides [40], and that extrapolated time-dependent dielectric-breakdown lifetimes of 10 years can only be obtained on n-type at fields less than 5 MV/cm at room temperature. Studies have shown that the lifetime for oxides drops rapidly at elevated temperatures, with a vulnerability to negative bias-stress instability [41]. Electron injection into the oxide is more efficient than for Si due to the lower barrier [32]. In addition, the barrier for injection of holes is much lower than in Si, which is unfortunate since holes are particularly damaging to oxides [42]. 1.3.2.3 Contacts Ohmic contacts to both n and p-type material have been demonstrated for 4H-SiC with specific contact resistivities of the order of 10-5 Ωcm2 [43, 44]. To achieve this, it has proved necessary to use rapid thermal annealing (RTA) of the wafer at temperatures as high as 1400 oC. The majority of contacts are based around Ni and Al (for n- and ptype, respectively) although these are generally not stable at temperatures above 400 oC. To take advantage of the full potential for high temperature operation of 4H-SiC devices, contacts that are thermally stable up to 600 oC over long periods are required. Recent work based on Al/Ni/W/Au contact structures on p-type 4H-SiC have shown longevity of over 100 h at 600 oC with a contact resistance of 10-3 Ωcm2 [45]. Other works have

13 shown similar results using a variety of materials including TaC [46] and AlSi [47] alloys. Several groups have reported excellent quality room temperature Schottky diodes, with the barrier height dependent on the metal chosen. This demonstrates that the fermi level is not pinned at the surface of the 4H-SiC. Values of ΦB vary from 1.10 eV (Ti) to 1.73 eV (Au), which are markedly larger than those observed on Si or GaAs. This increase in ΦB makes SiC an ideal material for high-voltage, high-temperature, low – leakage current Schottky diodes. Surface preparation, prior to deposition of the Schottky contact, has been shown to be a key factor in achieving good performance with sacrificial thermal oxidation being the optimum technique [48]. 1.3.2.4 Edge termination and passivation Many techniques applied to Si devices are also applicable to SiC. For example, field plates [49, 50], guard rings and junction termination extensions [51, 52] have all been used to good effect. Another simple technique involves the implantation of a high dose of inert ions (either Ar [53] or B [54]). In this case the damage caused by the implant causes a high resistivity region to be formed close to the surface, which acts in a manner similar to semi-insulating poly crystalline silicon (SIPOS). The reverse leakage performance of Ar and B implanted edge terminations may be improved by low temperature (600 oC) annealing [55]. Passivation of SiC surface is not trivial and has yet to be fully understood. The effects of inadequate passivation have been observed in microwave MESFETs, where this leads to degradation of gain under CW operation [56]. Power switching devices, on the other hand, appear to perform well with conventional Si passivation treatments such as polyamide.

CHAPTER 2 SILICON CARBIDE SCHOTTKY RECTIFIERS Silicon carbide device technology has seen a tremendous development over last five years. The technology once envisioned as a substitute to silicon technology has been realized to practice. The feasibility of SiC devices has been shown for many different types of devices, the development of a working production technology has started, yield, reliability and costs now being the key issues. The high cost of SiC substrates at present poses a major challenge for the technology to enter the device market. However, the exceptional properties of the material promote a tremendous interest in some of the prime applications like power electronics and high temperature sensor technology. The other examples are in transportation and manufacturing sector where high efficiency needs entails strong research efforts towards development of advanced power management and control electronics. Central to this effort is the development of the solid-state devices capable of delivering large currents and withstanding high voltages, without the need of sophisticated cooling systems [56]. 2.1 Experimental Characteristics of 4H-SiC Schottky Rectifiers 2.1.1 Introduction SiC has shown outstanding potential for high power, high temperature electronics in advanced communications and radar systems, sensor and control systems, utility power switching and traction motor control [57-68]. The wide bandgap (3.25 eV for the 4H-poly type), availability of doped substrates of either electrical conductivity type and high thermal conductivity (up to 4.9 W/cmK) of SiC make it a preferred material for these

14

15 applications [69-77]. These characteristics result in significantly higher current densities, operating temperatures and breakdown voltages than comparable Si devices and in lower switching and on-state losses. There have been major advances in the bulk and epitaxial growth of SiC in the past 5 years or so, along with improvements in device design and fabrication. Those have resulted in very impressive performance from SiC power metaloxide semiconductor field effect transistors (MOSFETs), bipolar transistors and p-i-n and Schottky rectifiers [78-93]. 2.1.2 Experimental Methods The starting substrates were n+ (1019 cm-3) 4H-SiC. Approximately 10µm of lightly n-type (n ~ 5 x 1015 cm-3) 4H-SiC was grown on these substrate by vapor phase epitaxy, followed by ~ 500 Å of thermal SiO2. A full-area back contact of e-beam deposited Ni annealed at 970 0C was used for contact to the substrate. SiO2 layers deposited by plasma enhanced chemical vapor deposition (PECVD) were employed as a part of the dielectric overlap edge termination [94]. Holes were opened in the SiO2 stack by a combination of dry and wet etching and a Schottky contact of e-beam evaporated (1000 Å thick) Ni patterned by lift-off. The contact diameter ranged from 100-1000 µm. A schematic of the completed structure and an optical micrograph of a processed 2 inch diameter wafer are shown in Figure 2-1. A key feature of achieving good Schottky performance is the quality of the ohmic contacts. The contact resistivity ~1.2 x 10-6 Ωcm2 was obtained from transmission line measurements of the Ni backside ohmic for 970 0C, 3 min anneals under a flowing N2 ambient in a Heatpulse 610 furnace. This is slightly higher than reported for annealed Ni

16

Ni PECVD SiNx Thermal SiO2 Epi n- SiC (tepi=10 µm) Substrate n+ 4H/SiC (n+= 1e19)

Ni

Figure 2-1 Cross-section of SiC Schottky rectifiers (top) and photograph of completed 2 inch diameter wafer (bottom).

17 on 6H-SiC substrates (7 x 10-7 Ωcm2) [95], which have a slightly smaller bandgap (3.02 eV) than the 4H-polytype used here. 2.1.3 Results and Discussion Figure 2-2 shows some reverse current-voltage (I-V) characteristics measured at 25oC schottky contact diameter. Note that the reverse breakdown voltage decreases significantly with increasing contact size. This is due to the increased probability of having crystal defects in the active region of the device as the area is increased. The production of SiC substrates with large, defect free areas still represents the biggest challenge to widespread use of power rectifiers in this materials system. Note also the very low reverse leakage currents in the rectifiers at biases below breakdown. In this bias regime, the reverse current was proportional to the length of the rectifying contact perimeter, suggesting surface contributions were the most important in this voltage range. At biases closer to breakdown, the current was proportional to the area of the rectifying contact. Under these conditions, the main contribution to the reverse current is from rectifiers with a 7000 Å thick SiO2 dielectric overlap layer, as a function of the under this contact, i.e. from the bulk of the material. A more detailed view of the reverse I-V characteristic from a 154 µm diameter rectifier is shown in Figure 2-3 (top), along with a map of VB values measured over a quarter of a 2-inch diameter wafer (bottom). The overall shape of the I-V curve is similar to past reports for Ni/4H-SiC rectifiers [90], in which it was concluded that the origin of the reverse current was due to a combination of both thermionic field emission and field emission. Note that yield of rectifiers with VB > 750 V is over 50 % and with VB > 600 V is over 75 %.

18

-4

10

100 µm diode 500 µm diode 1000 µm diode

-6

Current (A)

10

-8

10

10

-10

10

-12

-800

-600

-400

-200

0

Bias Voltage (V)

Figure 2-2 Reverse I-V characteristics at 25 0C from Schottky rectifiers with different contact diameters.

19

-5

10

-6

10

-7

Current (A)

10

SiC Diode 5000 Å SiO2 Dia: 154 µm

-8

10

-9

10

-10

10

-11

10

-1000

-800

-600

-400

-200

0

Bias Voltage (V)

500 V

600 V

740 V

damaged

750 V

720 V

730 V

820 V

>1000 V

980 V

290 V

>1000 V

300 V

820 V

560 V

400 V

580 V

930 V

>1000 V 810V

810 V

750 V

640 V

660 V

830 V

>1000 V

820 V

860 V

940 V

520V

900 V

800 V

980 V

600 V

520 V

860 V

720 V

Figure 2-3 Reverse I-V characteristic from 154 µm diameter rectifier (top) and map of reverse breakdown voltage from a quarter of a 2 inch diameter wafer (bottom).

20 Simulations of the rectifier performance were carried out using the MEDICITM code. The performance of the structure of Figure 2-1 (top) was simulated for different oxide thicknesses and a metal overlap of 10 µm onto this oxide, as used experimentally. Figure 2-4 shows a comparison of the experimental and theoretical results. Note that the VB values obtained on the real devices are roughly half of that expected from the simulations. The later do not take into account the presence of crystalline defects, including micropipes in the SiC. This difference emphasizes the importance of crystal quality in determining the performance of the rectifiers. The reverse breakdown voltage is related to the epilayer doping (ND) through the relationship [96]: 2

V

B

=

∈ EC

2e N D

where ε is the dielectric constant of SiC, EC the critical field for breakdown and e the electronic charge. Figure 2-5 shows our experimental data point for VB at a epilayer doping concentration of 5 x 1015 cm-3 for 10 µm thick layers, along with the expected results from the simulations with different carrier concentrations. Note that there is not much improvement expected for decreasing the carrier concentration below 5 x 1015 cm-3 since the layer will be depleted under those conditions. Our experimental devices still have performance limited by material defect density rather than purity. The VB values obtained in the simulations were found to increase linearly with SiO2 thickness from 2500 Å to 17500 Å and saturated thereafter.

21

-700

Breakdown Voltage (V)

-800 -900

SiC Schottky Diodes -3 Doping n:5e15 cm tepi:10 µm

-1000 -1100 -1200 -1300 -1400

Experimental results Simulated results

-1500 2000

3000

4000

5000

6000

7000

8000

9000 10000 11000

Dielectric thickness (Å)

Figure 2-4 Comparison of breakdown voltage obtained from simulations and the fabricated devices.

22 However, thicknesses above ~5000 Å are difficult to achieve in practice because of the long deposition times. The forward current characteristics of a Schottky rectifier are dominated by the barrier height of the metal on the semiconductor and by series resistance concentrations. The barrier height (φB) for Ni on 4H-SiC is ~1.3eV [90]. The forward voltage drop, VF, is related to the barrier height and on-state resistance, RON, through the relation:

V

F

=

nkT ln( J* F 2 ) + n Φ B + RON . J F e AT

where n is the diode ideality factor, k is Boltzmann’s constant, T the absolute temperature, e the electric charge, JF the forward current density and A* is Richardson’s constant. The value of RON is a function of epilayer thickness and doping. Figure 2-6 shows forward I-V characteristics in both linear (top) and log (bottom) form. A maximum forward current of 2 A was obtained from these devices, and was limited by our experimental system. The turn-on voltage was ~1.82 V at a forward current density of 100 Acm-2 and the on-state resistance was ~0.36 mΩcm2. The diode ideality was 1.13 at 25 0C, which is comparable to past results for Ni metallization [90]. To place our results in context, Figure 2-7 shows a theoretical plot of specific onresistance as a function of VB for Si, 4H-SiC and 6H-SiC, along with some experimental data. The lines are derived from the relation [84-96]: 2

R

ON

=

4V B

3

µ ∈ EC

23

Breakdown Voltage (V)

3

10

SiC Schottky Diode tepi=10 µm tdielectric=1.0 µm

Simulation Results Experimental Result

2

10

14

10

15

10

16

17

10

10 -3

Carrier Concentration (cm )

Figure 2-5 Calculated reverse breakdown voltage as a function of epilayer doping.

24

2.5

Current (A)

2.0

SiC Schottky Diodes SiO2: 7000 Å Diode Dia.: 1000 µm

1.5

1.0

0.5

0.0 0.0

0.5

1.0

1.5

2.0

2.5

3.0

3.5

Bias Voltage (V)

2

Current Density (A/cm )

2

10

1

10

SiC Schottky Diodes SiO2: 7000 Å Diode Dia.: 1000 µm 0

10

0.0

0.5

1.0

1.5

2.0

2.5

3.0

Bias Voltage (V)

Figure 2-6 Forward I-V characteristics in linear (top) and log (bottom) scales.

25

Figure 2-7 Specific on-resistance as a function of breakdown voltage.

26 where µ is the electron mobility in the epilayer. Note that the SiC rectifiers are expected to have either much lower on-resistance at a given breakdown voltage than Si, or equivalently a much larger breakdown voltage for a given on-resistance. Our results are close to the theoretical minimum on-resistance for the VB’s obtained and indicate that the device processing produces no major degradation in the electrical properties of the SiC. 2.2 Ni Contacts to N-Type 4H-SiC

Superior electrical and thermal properties of SiC make it promising material for high temperature and high frequency electronics. However, it is widely appreciated that the optimal performance of microwave devices is related to the quality of ohmic contacts. Low contact resistance and high temperature stability are required [97]. Nickel is one of the most popular materials used in advanced aerospace systems [98] and good nickel ohmic contacts on n-SiC have been already demonstrated [99]. The popularity of Ni contacts to SiC is due to its reproducibly low contact resistance and good temperature stability [100]. In our study, we could see no appreciable degradation of the contacts up to a temperature of 225 oC. Annealing the contacts produces low ohmic contact resistivities on highly doped substrates. For n-type SiC, low contact resistivities (30A range because of the high thermal conductively (~4.9W/cm·K) and large bandgap relative to Si [104-112]. The advantage in materials properties mean that on-state resistance, RON, for a 4H-SiC rectifier can be over 100 times smaller than that of a Si rectifier at the same breakdown voltage, VB, since RON is given by 4VB/µεEC3 (where µ is the electron mobility, ε the permittivity, and EC the critical field for breakdown) [87]. There are numerous situations in which high temperature annealing might be employed in the fabrication of SiC rectifiers, including activation of implanted dopants or reduction of reverse leakage current in self-aligned Ar+-implanted edge terminations

28

2.3x10

-6

1.9x10

-6

1.5x10

-6

1.1x10

-6

2

Rc (Ohm-cm )

Anneal time: 3 min Anneal gas: N2

950

960

970

980

990

1000

Temperature (°C)

Anneal temperature: 970 °C Anneal gas: N2

-6

2

Rc (Ohm-cm )

2.2x10

-6

1.8x10

-6

1.4x10

-6

1.0x10

2.0

2.5

3.0

3.5

4.0

1.7x10

-6

1.5x10

-6

1.3x10

-6

1.1x10

-6

Anneal time:3 min Anneal temp:970 °C

2

Rc (Ohm-cm )

Time (min)

N2

Vacuum

Ar

Anneal Gas

Figure 2-8 Contact resistivity of Ni ohmic contact on n+ 4H-SiC as a function of annealing temperature (top), annealing time (center) and annealing ambient (bottom).

29 [113-115]. In the latter case, Ar+ implantation is used to create a high-resistance region around the contact periphery in order to spread the electric field distribution and avoid breakdown due to field crowding. While this process does increase reverse breakdown voltage, it also increases leakage current. Several studies have examined the effect of anneals up to 700ºC for reducing this leakage current [114]. In this study we report the stability of 4H-SiC Schottky power rectifiers to rapid thermal annealing treatments in the temperature range 700 - 1100ºC with the contacts already in place. This is of interest for defining the stability of devices in which the Ni rectifying contact is used as a self-aligned mask for implant edge termination. The performance of the rectifiers is found to show a general improvement for anneals ≤1000ºC. 2.3.2 Experimental Methods

The Schottky contacts were fabricated on the wafer as described in section 2.1.2. The annealing for these contacts was performed in a Heatpulse 610T System under flowing N2 ambient at temperatures of 700 – 1100 ºC for 60 - 240 sec. The currentvoltage (I-V) characteristics were measured before and after this procedure. Prior to annealing, the typical on-state resistance was 0.8 mΩ·cm2, the reverse breakdown voltage (VB) ~450V and the forward turn-on voltage was 2.5V at 100Acm-2. 2.3.3 Results and Discussion

The reverse bias characteristics showed a general increase in current for anneals ≤ 1000˚C, while the forward I-V characteristics also shoed significant changes under these conditions, as shown in Figure 2-9. Note the decrease in turn-on voltage as the anneal temperature increase. The forward current density, JF, can be expressed as:

30  eφ J F = A **T 2 exp − B  kT

  eV      − 1   nkT  

where A** is the Richardson’s constant for SiC, k is Boltzmann’s constant, e is the electric charge, φ B is the barrier height for Ni on 4H-SiC, V is the applied voltage, n is the ideality factor, and T is the measurement temperature. The data in Figure 2-9 are consistent with a reduction in φ B. On 6H-SiC, Ni was observed to form Ni2Si at temperature beginning at ~600˚C [116], eventually leading to ohmic behavior. In lower temperature anneals, Ni/6H-SiC diodes showed a reduction of leakage current through removal of low-barrier secondary diodes in parallel to the primary diode [113]. Our measure φ B was ~1.4eV prior to annealing, which is consistent with past reports [116118]. It is likely that the reduced turn-on voltage observed in Figure 2-9 is the result of silicide formation, as suggested previously [114]. The on/off current ratio was 1.5 ×105 at 3V/-450V in control samples and increased by a maximum of ~20% after optimum annealing at 700˚C. The forward current characteristics of a SiC Schottky rectifier are dominated by the Ni barrier height and by series resistance contributions and is related to

φ B and RON through the relationship: VF =

nkT  J F  ln ** 2  + nφ B + RON J F e A T 

With annealing, the value of φ B decreased as described above, but RON showed improvement (Figure 2-10). Once again the annealing durations had to be kept50 µm in SiC. The irradiated devices were measured approximately 2 days after exposure. 3.1.3 Results and Discussion

Figure 3-1 (top) shows reverse current voltage (I-V) characteristics before and after a proton fluence of 5 × 109 cm-2. The basic shape of the characteristic is unchanged and shows only an increase in the magnitude of the reverse leakage current. Figure 3-1 (bottom) shows the percentage increase in reverse current measured at –250V, as a function of the proton fluence. We suggest the creation of generation-recombination centers related to atomic displacements created by the incoming protons is the main mechanism for the increased leakage current, based on both this data and what follows later in this section. The forward I-V characteristics before and after proton irradiation at fluence of 5 x 109 cm-2 are shown at the top of Figure 3-2. There is an increase in low-bias (< 1V)

40 current consistent with the introduction of recombination centers associated with protoninduced displacement damage. At higher forward voltages, the current is decreased. Figure 3-2 (bottom) shows the magnitude of the decrease (measured at a forward voltage of 2 V) as a function of the proton fluence. Note the percentage decrease in current is ~ 6% after a fluence corresponding to more than a year in low earth orbit and 42% after more than 10 years. The Schottky barrier height was extracted from the relationship:

J

F

=

**

AT

2

exp(−

eΦ B eV )[( ) − 1] kT nkT

where JF is the forward current density at voltage V, A** is the Richardson’s constant for 4H-SiC, T the absolute measurement temperature, e the electronic charge, ΦB the barrier height for Ni on 4H-SiC, n the ideality factor and k the Boltzmann’s constant. The extracted values were 1.32 ± 0.06 eV for both control and irradiated rectifiers, which is consistent with past reports for Ni on 4H-SiC [54]. The forward voltage drop for a Schottky rectifier, VF, is related to the barrier height and on-state resistance, RON, through:

V

F

=

nkT F ln( J ) + nΦ + RON J F ** 2 e AT

VF is usually defined as the voltage at which JF is 100 A.cm-2. Figure 3-3 shows the increases in VF, n and RON as a function of proton fluence. The values in the unirradiated control rectifiers were VF = 2.4V, n = 1.15 and RON = 3.7 × 10-4 Ωcm2. In each case, the values of these parameters increase with increasing proton fluence. The results are consistent with a net reduction in carrier density in the depletion region of the rectifiers through the introduction of traps and recombination centers associated with proton damage.

41 3.2 Influence of PECVD of SiO2 Passivation Layers on 4H-SiC Schottky Rectifiers 3.2.1 Introduction

There is great current interest in the development of manufacturable SiC power rectifiers in the 300-1000 V, 25-50 A range for use in traction motor control, sensor and control systems and the drive train of hybrid electrical vehicles [119-126]. Numerous reports have shown the potential of both SiC Schottky and p-i-n rectifiers for these applications [84, 87]. Record breakdown voltage (12.3 kV) and forward current (130 A) results have been achieved for these devices [87]. The main focus now is to understand the effect of crystal growth and device processing steps in the performance of SiC rectifiers, in an attempt to push the devices into a robust manufacturing mode. One of the important fabrication steps is the deposition of the thick dielectric layers for use as surface passivation and as a part of the metal overlap edge termination. These layers are generally deposited by plasma enhanced chemical vapor deposition (PECVD) using SiH4-based chemistries and therefore the surface of the SiC is subject to both energetic ion bombardment and a high flux of atomic hydrogen. The former can create surface and bulk traps that degrade the electrical properties of semiconductors while the later can etch the surface through the reaction: SiC + 8H

SiH4 + CH4

as well as passivate dopants in the near-surface region. Both donors (D) and acceptors (A) can be passivated through the formation of neutral complexes with hydrogen, according to following mechanisms: D+ + H-

(DH)0

A- + H+

(DH)0

42

-4

10

9

10

-6

10

Current (A)

2

dose: 5x10 p/cm Post-irradiation Pre-irradiation

-5

-7

10

-8

10

-9

10

SiC Schottky diode SiO2:7000 Å Dia.: 1000 µm

-10

10

-11

10

-500

-400

-300

-200

-100

0

Bias Voltage (V)

3

% increase in IL (-250V)

10

SiC Schottky diode SiO2:7000 Å Dia.: 1000 µm

2

10

1

10

0

10

7

10

8

10

9

10

10

10

-2

Proton Dose (cm )

Figure 3-1 Reverse I-V characteristics from 4H-SiC Schottky rectifiers before and after proton irradiation at a dose of 5 x 109 cm-2 (top) and percentage increase in reverse leakage at –250V (bottom).

43

0

10

Current (A)

SiC Schottky diode SiO2:7000 Å Dia.: 1000 µm 9 2 dose: 5x10 p/cm -1

10

pre-irradiated post-irradiated

-2

10

0.0

0.5

1.0

1.5

2.0

2.5

3.0

Bias Voltage (V)

50

SiC Schottky diode SiO2:7000 Å Dia.: 1000 µm

% decrease in IF (2V)

40 30 20 10 0 7

10

8

10

9

10

10

10

-2

Proton Dose (cm )

Figure 3-2 Forward I-V characteristics from 4H-SiC Schottky rectifiers before and after proton irradiation at a dose of 5 x 109 cm-2 (top) and percentage decrease in forward current at 2V (bottom).

44

∆n

1.0

0.5

0.0 7 10

8

9

10 10 -2 Proton Dose (cm )

10

10

3

-4

∆ RONx 10 Ω cm

2

4

2

1

0 7 10

8

9

10

9

10

10 10 -2 Proton Dose (cm )

10

∆VF (V)

1.0

0.5

0.0 7 10

8

10 10-2 Proton Dose (cm )

10

Figure 3-3 Numerical change in values of n (top), RON (center) and VF (bottom) as a function of proton fluence.

45 In this study we report on the effects of PECVD SiO2 layers on the performance of Ni/4H-SiC Schottky rectifiers. We also identify optimum deposition conditions under which there is minimal degradation of the device performance. 3.2.2 Experimental Methods

The Schottky rectifiers with a diameter of 154 µm were fabricated in the same way as described in section 2.1.2. PECVD of thin (~200 Å) SiO2 films were performed at a substrate temperature of 250 0C using 2% SiH4 and N2O at a total flow rate of 600 sccm. The process pressure was raised from 600-900 mTorr, the N2O percentage of the total flow rate from 5 to 50% and the rf power (13.56 MHz) varied from 25-400W. The SiO2 layers were thin enough that we could probe through them to the underlying contacts, allowing us to measure the current voltage (I-V) characteristics without having to remove the SiO2 layers. Prior to SiO2 deposition, the rectifiers displayed reverse breakdown voltage (VB) of 770 V, on-state resistance (RON) of 4.73 mΩ.cm2 and forward turn-on voltage (VF) of 2.06 V at 100 A.cm-2. 3.2.3 Results and Discussion

Figure 3-4 shows the effect on VB of plasma power (top), pressure (center) and N2O percentage (bottom) during the SiO2 deposition. There is no significant change in VB at low powers, while at higher powers (>250 W), it increases. Since VB is inversely dependent on the doping in the depletion region (ND), according to the relation: 2

V

B

=

∈ EC

2e N D

where ∈ is the permittivity of SiC and e the electronic charge, this suggests a decrease in doping in the unprotected region around the rectifying contact (and possibly under, since atomic hydrogen ion diffuse in an isotropic fashion). This effect was largest at the lowest

46 process pressure, as seen in the center part of Figure 3-4. The bottom part of the figure shows that VB actually decreased under SiH4-rich deposition conditions. Therefore, we suggest that the main effect of the hydrogen flux is to decrease VB through creation of surface states, whereas the effects of plasma power and pressure are mainly due to creation of deep traps by energetic ion bombardment. In this later case, the effective doping around the contact is decreased and this leads to an increase in breakdown voltage. The forward turn-on voltage was less sensitive to changes in the SiO2 deposition parameters than was VB. This is expected, since VF is controlled by the barrier height of Ni contact (1.3 eV) and by series resistance contributions, especially from contact resistance. Since the area under the Schottky contact is protected by the overlying metal and the rear ohmic contact is not exposed to the plasma, we would expect VF to be less sensitive to the process conditions. Figure 3-5 shows the effect on VF of both plasma power (top) and process pressure (bottom), with the changes in each case being less than ~ 10%. The on-state resistance is related to the critical field for breakdown EC and the reverse breakdown voltage through the relation [96]: 2

R

ON

=

4V B

3

µ ∈ EC

where µ is the electron mobility in the 4H-SiC epi-layer. Figure 3-6 shows the effect of plasma power (top), N2O percentage (center) and process pressure (bottom) on RON. The trends mirror those seen for VB under the same conditions, with the increases being greatest at the highest powers, highest SiH4 content in the plasma and the lowest process pressure.

47 3.3 Effect on 4H-SiC Schottky Rectifier of Ar Discharges Generated in a Planar Inductively Coupled Plasma Source 3.3.1 Introduction

SiC-based rectifiers are generating tremendous current interest for a broad range of applications, including broad band satellite transmission systems, advanced radar, high temperature sensors and traction motor control [119-127]. Most attention has been focused on the 4H-SiC polytype because of its larger bandgap (3.25 eV) and higher mobility relative to the other polytypes [70]. Within the two basic classes of rectifiers, Schottky devices have the lowest on-state voltages and highest switching speeds; while pi-n diodes have the higher reverse breakdown voltage and lower reverse leakage current [87]. Compared to Si rectifiers, SiC devices have on-state resistances approximately a hundred times lower or equivalently much larger breakdown voltage at the same on-state resistance [96]. While very high forward currents (up to 130 A) and breakdown voltages (4.9 kV) have been achieved for 4H-SiC Schottky rectifiers [87], a lot of recent attention has been focused on fabrication and materials technology for diodes in the 300-1000 V range [105]. Plasma processing is required both for dry etching of mesas and deposition of dielectrics for surface passivation and metal overlap edge termination. However little has been reported on the effects of plasma exposure on the electrical performance of 4HSiC rectifiers. In this study, we describe the effect of Inductively Coupled Plasma (ICP) Ar discharges generated in a novel plasma source configuration, on the electrical properties

48

50

SiC Schottky diodes

40

% change in Vb

30 20 10 0 -10 -20 -30

0

100

200

300

400

Power (W)

SiC Schottky diodes

% change in Vb

40

20

0

-20

600

700

800

900

Pressure (mTorr)

0

SiC Schottky diodes

% change in Vb

-20 -40 -60 -80 -100

0

10

20

30

40

50

% N2O

Figure 3-4 Percentage change in VB as a function of plasma power (top), process pressure (center) and N2O content (bottom) during SiO2 deposition.

49

12

SiC Schottky diodes

% increase in Vf

10 8 6 4 2

0

100

200

300

400

Power (W)

SiC Schottky diodes

% increase in Vf

10

8

6

4

2

600

700

800

900

Pressure (mTorr)

Figure 3-5 Percentage change in VF as a function of plasma power (top) and process pressure (bottom) during SiO2 deposition.

50

25

SiC Schottky diodes

% increase in Ron

20 15 10 5 0

0

100

200

300

400

Power (W)

10

SiC Schottky diodes

% increase in Ron

8 6 4 2 0

0

10

20

30

40

50

% N2O

SiC Schottky diodes

25

% increase in Ron

20 15 10 5 0

600

700

800

900

Pressure (mTorr)

Figure 3-6 Percentage change in RON as a function of plasma power (top), N2O content (center) and process pressure (bottom) during SiO2 deposition.

51 of Ni/4H-SiC Schottky rectifiers. Both ion flux and ion energy are found to play a role in the extent of the observed changes in reverse breakdown voltage (VB), on-state resistance (RON), ideality factor (n) and forward turn-on voltage (VF). 3.3.2 Experimental Methods

The Schottky rectifiers with a contact diameter of 154 µm were fabricated in the same way as described in section 2.1.2. The as-fabricated rectifiers show RON of 4.4 mΩcm2, VF = 1.96 V and VB = -330 V. The devices were exposed to pure Ar discharges in a planar coil geometry ICP reactor, shown schematically in Figure 3-7. A key feature of this system is the ability to operate at very low source power (20 W) as well as chuck power (5 W), which allows one to design etch or deposition processes that end with very low ion energy and flux conditions to minimize damage. The ICP source power (2 MHz) was varied from 100700 W, the rf chuck power (13.56 MHz) from 25-200 W and the process pressure from 10-40 mTorr. All exposures were 30 sec in duration and removed < 150 Å of the surface by sputtering. These plasma exposure simulate the ion bombardment received by the SiC surface during processes such as dry etching of plasma enhanced chemical vapor deposition and represent a worst-case scenario because there is no chemical etch component that would remove some of the damaged surface region on a deposition of dielectric to protect the surface from further ion bombardment. 3.3.3 Results and Discussion

Figure 3-8 shows the percentage changes in VB (top) and RON (bottom) as a function of ICP source power (100 W) and process pressure (10 mTorr). The reverse breakdown voltage decreases with increasing ion flux. Since the dc self-bias on the

52 sample electrode also decreases with increasing source power, this indicates that increasing ion flux is a factor in degrading the breakdown voltage. Note however that the changes are ≤ 25% even at the highest source power employed. For SiC there is an empirical relationship between VB and the doping in the epilayer, ND, which is given by [96]:

V

B

= 1.75 × 1015

N

−0.75 D

One of the expected effects of Ar plasma exposure on the exposed SiC around the contact periphery is a decrease in ND through creation of deep trap states. However this would lead to an increase in VB, which is the opposite of what is observed experimentally. Therefore, we suggest that the main effect of the plasma exposure under our conditions is an increase in surface defects that initiate breakdown at much lower values than expected from the bulk doping of the SiC epilayer. The on-state resistance can be written as [106]: 2

R

ON

=

4V B

3

µ ∈ EC

where µ is the electron mobility, ∈ the permittivity of 4H-SiC and EC the critical field for breakdown. Since VB decreases with increasing source power, we would also expect a decrease in RON unless EC is also decreasing. Figure 3-8 (bottom) shows large increases in RON as the source power is increased, which is consistent with the creation of surface states that promote early breakdown of the damaged rectifier. Figure 3-9 shows the percentage changes in VF (top, measured at 100 A.cm-2) and ideality factor (bottom) as a function of source power at fixed rf power (100 W) and pressure (100 mTorr). Note that the changes in both parameters are ≤ 20% provided the

53 source power is left below 300 W, corresponding to a dc self-bias ≤ -185 V. At higher source powers both VF and n are severely degraded even though the self-bias under these conditions is low (-41 V at 700 W source power). The average energy of the incident Ar+ ions is roughly given by the sum of the dc self-bias and the plasma potential (approximately –25V in this system). Therefore even though the ion energy is low at high source powers, the ion flux is sufficient to create significant degradation in the rectifier characteristics. The forward voltage drop for a Schottky rectifiers is related to the barrier height (φB) and RON through the relation [56]:

V

F

=

nkT F ln( J ) + nφ + RON J F ** 2 B e A T

where k is Boltzmann’s constant, T the absolute temperature, e the electronic charge, JF the forward current density and A** is Richardson’s constant for 4H-SiC. Therefore VF can be degraded by increases in ideality factor and on-state resistance along with a reduction in forward current due to introduction of trap states. The changes in rectifier performance were also dependent on the applied rf chuck power, or equivalently on ion energy. The changes in VB, VF, RON and n were ≤ 20% for VB and VF, ≤ 30% for RON and ≤ 40% for n over the range of these parameters that we investigated. Figure 3-10 shows the percentage changes in VB (top) and RON (bottom) as a function of process pressure at fixed source power (300 W) and rf power (100 W). Note that the decreases in both parameters are largest at the lowest pressure. This is consistent with the fact that at low pressures the incident ions have a lower probability of collisions with gas molecules or they traverse the sheath region and therefore they impact with their full energy. A major advantage of the plasma ICP source is its ability to operate at higher

54 pressures (≥ 40 mTorr) relative to the more normal 10mTorr range of conventional cylindrical coil sources. The ideality factor of the rectifiers was still more degraded at higher pressures, while VF showed very small changes with pressure (Figure 3-11). 3.3.4 Summary and Conclusions

In conclusion 4H-SiC Schottky rectifiers show only modest changes in the electrical characteristics after high-energy proton irradiation at fluences corresponding to more than 10 years in low-earth orbit. These devices appear promising for both aerospace and terrestrial applications where irradiation hardness is a prerequisite. The main degradation mechanism appears to be creation of recombination centers and traps that cause an increase in reverse leakage current, ideality factor and on-state resistance. In another study, PECVD SiO2 layers were deposited on Ni/4H-SiC Schottky rectifiers as a function of various plasma parameters. Both reverse breakdown voltage and on-state resistance increase with increasing plasma power and SiH4 content through creation of deep trap states and degradation of the SiC surface by reaction with atomic hydrogen, respectively. The changes in forward turn-on voltage are less dramatic in each case. Plasma conditions that utilize low-to-moderate powers, high process pressure and low SiH4 percentages produce minimal changes in the rectifier performance. 4H-SiC power rectifiers were also exposed to Ar discharges in a plasma coil ICP reactor as a function of various plasma parameters. The reverse breakdown voltage decreases with increasing ion flux, which is controlled by the source power, whereas VF, RON and n all increase under the same conditions. These results are consistent with creation of surface states that promote reverse breakdown at lower applied voltages. The same trends were observed with increasing of chuck power, which controls the incident ion energy. The increases in VB and RON are minimized at high operating process

55 pressure, as expected since these conditions reduce the effectiveness of the ion bombardment experienced during the plasma exposure. Damage during actual etch or deposition process would be lower than produced during the Ar plasma exposure, but clearly process conditions that utilize low ion energies and fluxes are desirable at the end of an etch cycle or the beginning of a deposition cycle in order to minimize ion-induced damage.

56

ICP (Inductively Coupled Plasma) Etching System Frame Structure

Gas Inlet Faraday Shield

Quartz Plasma

Load Lock

Powered Electrode

Reactor Sample

He Cooling System 13.56 MHZ

Figure 3-7 Planar coil ICP reactor.

57

400

-10 300 -15 200 -20

dc self-bias (-V)

% change in VB

500

SiC Schottky RIE Power: 100 W Pressure: 10 mTorr

-5

100

-25 100

200

300

400

500

600

700

0

ICP Power (W)

1400 1200

SiC Schottky RIE Power: 100 W Pressure: 10 mTorr

% change in Ron

1000 800 600 400 200 0 -200

100

200

300

400

500

600

700

ICP Power (W)

Figure 3-8 Percentage change in VB (top) and RON (bottom) of 4H-SiC rectifiers as a function of ICP source power.

58

250

% change in VF

200

SiC Schottky RIE Power: 100 W Pressure: 10 mTorr

150 100 50 0 100

200

300

400

500

600

700

ICP Power (W)

80

% change in ideality factor

70 60

SiC Schottky RIE Power: 100 W Pressure: 10 mTorr

50 40 30 20 10 100

200

300

400

500

600

700

ICP Power (W)

Figure 3-9 Percentage change in VF (top) and n (bottom) of 4H-SiC rectifiers as a function of ICP source power.

5

250

0

200

-5

150

-10

100

SiC Schottky RIE Power: 100 W ICP Power: 300 W

-15 -20

10

15

20

25

30

35

dc self-bias (-V)

% change in VB

59

50 0

40

Pressure (mTorr)

32

SiC Schottky RIE Power: 100 W ICP Pwer: 300 W

30

% change in Ron

28 26 24 22 20 18 16 14

10

15

20

25

30

35

40

Pressure (mTorr)

Figure 3-10 Percentage change in VB (top) and RON (bottom) of 4H-SiC rectifiers as a function of process pressure.

60

22

% change in VF

21

SiC Schottky RIE Power: 100 W ICP Pwer: 300 W

20 19 18 17

10

15

20

25

30

35

40

35

40

Pressure (mTorr)

60

% change in ideality factor

55 50

SiC Schottky RIE Power: 100 W ICP Pwer: 300 W

45 40 35 30 25 20 10

15

20

25

30

Pressure (mTorr)

Figure 3-11 Percentage change in VF (top) and n (bottom) of 4H-SiC rectifiers as a function of process pressure.

CHAPTER 4 JUNCTION TERMINATION EXTENSION GEOMETRY OF SIC RECTIFIERS It is possible to make etching of SiC (0001) facet only by plasma methods because of its high chemical stability [128]. After such etching the surface of the semiconductor is strongly damaged and is not electrically neutral. It is a reason for arising breakdown at considerable lower voltages than the values implied by the impurity concentration in the base. In most of the cases the breakdown characterized by surface leakage current (in the order of 10-6–10-8 A) and was irreversible. For decreasing probability of surface like breakdown it is necessary to make surface electrical field strength less than the strength in bulk. This can be achieved by profiling of mesa structure during etching [129] or by diffusion o acceptor impurities into the surface region of the n-base [130]. Also electrical activity of the surface can be decreased by special treatment like oxidation. Another problem encountered for high reverse voltage is when the breakdown occurs through the air along the surface of the mesa-structure. It has been shown that the breakdown voltage increases when the measurements are done by placing the samples in a dielectric liquid with a high value of electric breakdown field strength like fluorientTM FC-77 [131]. For high temperature and high power devices if is necessary to cover mesastructure by the thermostable dielectric with critical electrical field strength more than that of air.

61

62 4.1 Influence of Edge Termination Geometry on Performance of 4H-SiC P-i-N Rectifiers 4.1.1 Introduction

4H-SiC has a larger bandgap (3.26 eV) compared to 6H-SiC (2.9 eV) and is preferred for device applications because of its higher and more isotropic electron mobility [70]. There is particular interest in the development of 4H-SiC power rectifiers for high power electronics applications. The on-state resistance of SiC rectifiers can be less than 1% that of Si rectifiers with the same breakdown voltage [106-108]. There are two basic classes of rectifiers, namely Schottky and p-n junction. The former have lower on-state voltages and higher switching speeds, while the latter have higher breakdown voltage and lower reverse leakage current. Advanced designs such as junction barrier Schottky (JBS) [109], dual metal trench [90] and merged p-i-n Schottky rectifiers (MPS) [110] attempt to achieve the advantages of both types of rectifiers [84]. An empirically derived relationship of 4H-SiC shows that the critical electric field for breakdown, EC, is related to the doping level in the drift layer, ND, through [112]:

E

C

=

2.5 × 10 6 ND 1 − 0.25 log( 16 ) 10

or in terms of breakdown voltage, VB [104]:

V

B

= 1.75 × 1015

N

0.75 D

Excellent high current (230 A) and high voltage (5.5 kV) performance have been reported for 4H-SiC p-i-n rectifiers. The performance of manufacturable devices in the 300-1000V range is of particular interest, especially the development of robust designs and fabrication sequences. In this paper we report on the influence of edge termination design on the performance of 4H-SiC p-i-n rectifiers with a simple epi layer structure.

63 4.1.2 Experimental Methods

Epi layers of 12 µm of n-type (n~2x1015 cm-3) and 1 µm of p-type (p~1017 cm-3) 4H-SiC were grown on n+ 4H-SiC substrates. A schematic of the completed rectifiers is shown in Figure 4-1. A full-area back metallization of Ni annealed at 970 0C for 3 min provided ohmic contact to the substrate. Mesas were formed by dry etching and thermal SiO2 was used as the part of the dielectric overlap edge termination. The e-beam evaporated Ni Schottky rectifiers had areas between 0.005-0.04 mm2. Passivation layers of SiO2 (3000 Å thick) were deposited by plasma enhanced chemical vapor deposition. The adjustable parameters were the extent of metal overlap onto the mesa defining the active device area and the length of this mesa. The mesa edge termination has been demonstrated to provide excellent results on SiC p-n junctions provided the mesa surface is properly passivated [90,94]. 4.1.3 Results and Discussion

Figure 4-2 shows the forward I-V characteristics from one of the p-i-n rectifiers. The I-V curve can be fitted to the standard relationship for p-n diodes, namely [107]: J=

I eV eV = J RO exp( ) + J DO exp( ) A n1 kT n2 kT

where A is the diode area, JRO and JDO are the saturation current densities for recombination and diffusion current mechanisms, respectively, e is the electronic charge, k is Boltzmann’s constant, T the absolute temperature, V the applied bias and n1 and n2 are the ideality factors for recombination and diffusion currents, respectively. A fit to the data yields n1=1.97 and n2=1.10. Therefore, at lower current densities the transport is dominated by recombination, whereas at higher forward voltages, the current is

64 dominated by the diffusion contribution. The forward turn-on voltage, VF, can be represented as [84]:

V

F

=

n n kT ln( − 2+ ) + V M e ni

where n- and n+ are the electron concentrations at the two end regions (p+/n and n+/n), ni the intrinsic electron concentration and VM the voltage drop across the drift region. The measured VF is ~4V, or roughly 0.74 V higher than the theoretical minimum. The best on-state resistance was 15 mΩcm2, with an on/off current ratio of 1.5x105 at 3/-450 V. Figure 4-3 shows reverse I-V characteristics as a function of metal overlap distance for fixed mesa extension distance of 60 µm. The reverse breakdown voltage shows a trend of decreasing as the metal overlap distance increases. The mechanism is not clear at the moment, but could be due to several factors, including breakdown in the thermal oxide or extension of the depletion region to include defects under the mesa. The figureof-merit VB2/RON was a maximum of 13.5 MWcm-2 for these rectifiers. Figure 4-4 shows the variation of VB with metal overlap distance for rectifiers with different active areas. There is no significant effect of area over the range we employed, but we would expect to observe a decrease in VB in very large rectifiers because of the increased probability of having a defect in the active region [127]. Figure 4-5 shows reverse I-V characteristics from rectifiers with fixed area and extent of metal overlap, as a function of mesa extension length. The VB values extracted from this data are shown in Figure 4-6. Within experimental error and the uniformity of VB on a given wafer (~ ±10%), there is no significant change in breakdown voltage as a function of mesa extension length.

65 4.2 Effect of Contact Geometry on 4H-SiC Schottky Rectifiers with Junction Termination Extension 4.2.1 Introduction

There is great current interest in SiC power Schottky rectifiers with reverse breakdown voltages in the 500-1000V range for applications in traction motor control, sensors and next generation communications and radar systems [125-132]. These devices have significant advantages over Si diodes for these purposes, including lower switching losses, higher operating temperatures and faster switching speeds [93, 96]. In addition the high thermal conductivity of SiC (approximately three times that of Si) makes it ideal for very high current applications. There is still a need to optimize the edge termination and contact geometry for SiC rectifiers in order to find the most robust design for manufacturing. In this study we report on the effect of Schottky metal overlap and contact shape on the reverse breakdown voltage of 4H-SiC rectifiers employing junction termination extension (JTE). The JTE is used to reduce the electric field at the edges of the rectifiers. It increases the breakdown voltage of the diode by reducing the electric field density within the SiC near the edges of the rectifier. The p-doping of the JTE region counteracts the bending of the depletion region around the edges of the anode. This effect spreads out the electric field at the corners and edges of the depletion region. 4.2.2 Experimental Methods

The starting samples consisted of 1 µm p-type 4H-SiC (p~1017 cm-3) on 12 µm of n-type 4H-SiC (n~2x1015 cm-3) on a n+ 4H-SiC substrate. A full area back contact of ebeam deposited Ni annealed at 970 0C was used for contact to the substrate. Thermally

66

Figure 4-1 P-i-N rectifier.

67

-2

1.4x10

SiC P-i-N diode

-2

1.2x10

-2

Current (A)

1.0x10

-3

8.0x10

-3

6.0x10

-3

4.0x10

-3

2.0x10

0.0 0

1

2

3

4

5

Bias Voltage (V)

Figure 4-2 Forward I-V characteristics of p-i-n rectifier.

6

7

68

metal overlap 0 µm metal overlap 2 µm metal overlap 4 µm metal overlap 6 µm

-4

10

-5

10

-6

Current (A)

10

-7

10

-8

10

-9

-10

SiC P-i-N diode SiO2: 3000 Å p-SiC:1 µm

-11

Diode area: 0.01 mm mesa length:60 µm

10 10 10

-700

2

-600

-500

-400

-300

-200

-100

0

Bias Voltage (V)

Figure 4-3 Reverse I-V characteristics from p-i-n rectifiers as a function of metal overlap distance onto mesa.

69

Breakdown Voltage (V)

600

400

200

0

2

area: 0.04 mm 2 area: 0.01 mm 2 area: 0.005 mm 0

1

2

3

4

5

Metal Overlap (µm) Figure 4-4 Variation of VB with metal overlap distance for p-i-n rectifiers.

6

70

-4

10

SiC P-i-N diode SiO2: 3000 Å p-SiC:1 µm

-5

10

2

Diode Area: 0.04 mm metal overlap: 4 µm

-6

Current (A)

10

-7

10

-8

10

-9

10

-10

10

-11

10

-500

mesa length: 60 µm mesa length: 40 µm mesa length: 20 µm mesa length: 0 µm -450

-400

-350

-300

-250

-200

-150

-100

-50

0

Bias Voltage (V) Figure 4-5 Reverse I-V characteristics from p-i-n rectifiers as a function of mesa length.

71

450

Breakdown Voltage (V)

400 350 300 250 200

SiC P-i-N diode SiO2: 3000 Å p-SiC: 1 µm 2

Area: 0.04 mm Metal Overlap: 4 µm

150 100

0

10

20

30

40

50

60

Mesa Length (µm) Figure 4-6 Variation of VB with mesa length for p-i-n rectifiers with fixed metal overlap distance of 4 µm.

72 grown SiO2 was on part of the dielectric overlap edge termination. Schottky contact holes were etched into the SiO2 and through the p-SiC to expose the underlying n-SiC using dry etching. Schottky contacts of e-beam evaporated Ni (area 0.04 – 0.64 mm2) were patterned by lift-off. Three different contact shapes were employed, namely oval, circular and square. Finally, SiO2 layer deposited by plasma enhanced chemical vapor deposition (PECVD) was used for passivation. A schematic of the completed device structure is shown in Figure 4-7. 4.2.3 Results and Discussion

The forward turn-on voltage VF, defined as the forward bias at which the current density is 100 A-cm2, was < 2V (Figure 4-8). The on-state resistance, RON, was 4.2mΩcm2, which is close to the theoretical minimum for this structure of 4H-SiC. The VF is ~25% higher than the theoretical minimum for Ni Schottky diodes on 4H-SiC and may reflect the presence of some residual dry etch damage or surface contamination. Figure 4-9 shows the reverse current-voltage (I-V) characteristics from rectifiers with 0.04 mm2 area, junction termination extension of 40 µm and Ni metal overlap of 4 µm in extent, as a function of contact shape. Note that the oval diodes have the highest leakage at low bias (