Theoretical and Practical Study of Deforming

1 downloads 0 Views 61MB Size Report
binding energy, surface topography, ion mass number, target mass number, and ... This will be necessary to understand both the imaging and irradiation of matter. ..... Theses ejected electrons known as Secondary Electron (SE) with ..... potential relative to the discharge chamber, and then the ionized cesium will accelerate.
University of Leipzig – Faculty of Physics and Earth Sciences Institute of Experimental Physics II – Division of Nuclear Solid State Physics

Master Thesis

Theoretical and Practical Study of Deforming of π‘Ίπ’ŠπŸ‘ π‘΅πŸ’ Nanopores Under Electron and Carbon-12 Ion beam Albanay, Youssef. A

Leipzig, May 2016

Professor in charge: Prof. Dr. Jan Meijer



2



3

Contents Abstract 1. Introduction 1.1 On The Thesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.2 Sputtering Erosion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.3 What is a Focused Ion Beam FIB? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.4 Scanning Electron Microscope SEM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.5 Ion Implanter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

5 6 6 7 7 7 8

2. Ion-Solid Interactions Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.1 Elastic Collisions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.2 Screened Coulomb Potential . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.3 Scattering Cross-Section . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.4 Ion Stopping Power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.5 The Range of Ions in Solids . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.6 Interaction of electrons with matter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.7 SRIM simulations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.8 Ion Stopping Power Calculations Using SRIM . . . . . . . . . . . . . . . . . . . . . . . . . 2.9 Casino Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

9 9 10 11 12 15 18 20 23 25 25

3. Sputter Erosion Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.1 Sputtering Yield Formula . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.2 Sputtering Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

31 31 32 32

4. Experimental Apparatus Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.1 Principles of Ion Implantation System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.2 Ion Implanter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.3 Focused Ion Beam System FIB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.4 Scanning Electron Microscope SEM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

38 38 39 42 47 51

5. Experimental Measurements 5.1 Experimental details . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.2 Data and Measurements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.3 Discussion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.3.1 Model of nanopore shrinking (e-beam) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.3.2 Model of nanopore shrinking (ion-beam) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.4 Conclusions and Outlook . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

56 56 59 64 67 69 70

Bibliography

72

Acknowledgements

76



4

Abstract This thesis presents the results of controllable deformation of nanopores in silicon nitride 𝑆𝑖! 𝑁! membrane by using electron and !".𝐢 ion beam. Solid-state nanopores can be fabricated in silicon nitride films using Focused ion beam FIB milling system. We were successfully drilled nanopores in 0.5 Γ— 0.5 mm 𝑆𝑖! 𝑁! window with thickness 50 π‘›π‘š. By using e-beam, we examine the formation mechanics of the shrinkage processes for different initial pore sizes. The main advantage of this method of fabrication is that the nanopores are constantly imaged. Then we examined the nanpores deformation under !" !"𝐢 ion irradiation.



5

Chapter 1 Introduction

1.1 On The Thesis In recent years, nanopores have attracted considerable attention due to their promising application for many electrical, chemical, and biological applications [28, 29, 30]. One of the important applications is the detection and characterization of unlabeled biopolymers such as DNA, RNA, and polypeptides. DNA and RNA molecules can be translocated through solid-state nanpores by electrophoresis. The formation of nanopores has many advantages, such as high stability, precise control of diameter and channel length, unzipping kinetics of DNA hairpin molecules, the detection of double-stranded and single stranded DNA conformations, etc [31, 32, 33, 34]. Solid- state nanopores can be fabricated in 𝑆𝑖! 𝑁! films, using FIB milling system. The potential applications of nanopores based on various methods that developed to control precisely the deformation of nanopores [35, 37]. Scanning electron microscope (SEM) could shrinking nanopores in thin 𝑆𝑖! 𝑁! down to several nanometers. The electron current is proportional to the area of aperture, and the process can be stopped once the wanted area is achieved. We will study the relationship of nanopore’s contraction rate with irradiation time for both electron and ion beam. In chapter 2, we will study all the basic concepts in ion/electron-solid interactions such as elastic collision, scattering cross section, ion stopping power, and the range of ions in solids to understand essential phenomena like sputtering and ion damage in solids. Also an introduction to the computer program β€œStopping and Range of Ions in Matter” SRIM and β€œCasino Simulation” for electrons are given at the end of chapter. Ch. 3 presents the theory of sputter erosion. Some models like Sigmund’s model and some semi-empirical models are given. An overview of the main apparatus that is used in this thesis is discussed in Ch. 4. The experimental results, discussion, and conclusions are made in Ch. 5.

1.2 Sputtering Erosion An energetic ion that collides with a target surface will produce a multiple displacement sequence of collision events known as a collision cascade. If the energetic ion has a certain amount of energy (above lattice displacement energy π‘ˆ! ), atoms will be displaced from their lattice site. This process is called sputtering erosion.



6

Sputtering is quantified by the sputtering yield π‘Œ, the number of ejected particles per incident ion π‘Œ=

π‘Žπ‘‘π‘œπ‘šπ‘  π‘Ÿπ‘’π‘šπ‘œπ‘£π‘’π‘‘ . 𝑖𝑛𝑐𝑖𝑑𝑒𝑛𝑑 π‘π‘Žπ‘Ÿπ‘‘π‘–π‘π‘™π‘’

(1.1)

It’s clear from the definition that, the number atoms removed is proportional to the number of incident ions. Holding all other factors, the sputtering yield has its maximum value when the incident ion energy is somewhere between 5-50 keV [5]. Sputtering yield is a function of Composition of the target material, ion energy, ion incident angle, surface binding energy, surface topography, ion mass number, target mass number, and crystal orientation. More details and discussion about sputtering can be found in Ch. 3.

1.3 What is a Focused Ion Beam FIB? FIB operates in a similar fashion to a scanning electronic microscope SEM, except, rather than a beam of electrons, FIB systems use a finely focused beam of ions (usually gallium) that can be operated at low beam currents for imaging or high beam currents for site specific sputtering or milling. Focused ion beams in the ion range 1- 100 keV are able to modify or pattern solid-state surfaces. FIB gives a fast material removed with low damage generation in the near surface region. Cross – sectional cuts prepared by FIB milling can be easily investigated by scanning electronic microscope SEM. For this purpose FIB can be incorporated in a system with both electron and ion beam columns known as Dual Columns System allowing the same feature to be investigated using either of the beams [26]. By using the FIB milling system available at Leipzig University – Institute of Experimental Physics II, we were able to make many nanopores on the 𝑆𝑖! 𝑁! (50 π‘›π‘š thickness) with various sizes. The critical parameters that dictate the size of the holes are the beam current and the diameter of the pattern selection, and the milling time. We will discuss FIB system in Ch. 4.

1.4 Scanning Electron Microscope SEM Scanning electron microscope is the one of the most important examination methods. It produces images of sample by scanning it with a focused beam of electrons. The energetic electrons interact with atoms in the sample, producing various signals that can be detected and that contain information about the sample’s surface composition and tomography. The basic principle of the SEM is to detect this various signals (almost secondary electrons) and use them to produce very high-resolution images of a sample surface. More than imaging we could use the SEM as irradiation source. We deformed different nanopores in our silicon nitride membrane by irradiated them using SEM. In the next chapter, section 2.6, we will discuss the theory of Interaction of electrons with matter. This will be necessary to understand both the imaging and irradiation of matter.



7

Also, an introduction to the Monte Carlo β€œCasino Simulation” to simulate an interaction between electrons with matter is given in section 2.9.

1.5 Ion Implanter Ion implantation is very important for processing of many materials. Wide ranges of ion species, ion energies, and ion currents have been developed. We will discuss the different parts of ion implantation system, the important parameters, controlling the ion current density. All implanter’s designs follow five basic steps: Ion source, ionized, accelerated, separated by the mass to charge ratios, and directed at a target chamber. Ion implantation energies range from several hundred to several million electron volts. The fluence can range from 10! to 10!" ions per π‘π‘š! . Due to implantation purpose, different ion implanters have been developed with different energies and current ranges. The details will be in Sections 4.1 and 4.2.



8

Chapter 2 Ion-Solid Interactions

Introduction In this Chapter we will introduce all the necessary theories to understand the theory of sputtering and ion damage in solids. The theory of sputtering has been built on the ionsolid interaction theories. As the energetic ions go inside the surface target, during the implantation experiment, their energy will transfer to the target atoms. Thus, the ions will deflect from their initial directions. The energetic ion will collide with either atoms as a whole, atomic nuclei, or atomic orbital electrons. The major changes in the ions direction during their flight are due to the ions collisions with the individual lattice atoms. First section will focus on the classical elastic nonrelativistic two-body collisions between the ions and atoms target material, Roughly like billiards balls collision with one of them at rest. The momentum of the recoiling target atoms is the parameter that determines the amount of the damage that occurs in the solid target [7]. The general equations of the elastic two-body collision will help us to develop the scattering cross section. Cross section is an essential concept in ion-solid interactions. It measures the probability that an incident particle will experience a certain atomic or nuclear reaction with a target particle. Because it is clear that the large number of interactions between the ions and the target atoms is so sophisticated process, we need the statistics and probability. The scattering cross section will be the fundamental parameter that we will develop in section 2.2. Cross section concept will become important to describe ion stopping power, and the range of ions in solids, which will discuss in the sections 2.4, and 2.5. Ion stopping is the energetic ion that loses per length when the ion undergoes a series of collisions with the atom and electrons in the target. The incident particle loses energy at rate 𝑑𝐸/𝑑π‘₯ of few to 100 eV π‘›π‘š!! [7]. After many deflection of ion in the solid, the important question is; where has the ion finally gone to stopped? We can answer this question by developing the range concepts in solid. Which is essentially depends on ion stopping power. Section 2.6 discussed Interaction of electrons with matter. Finally, we will give an introduction to the computer program β€œStopping and Range of Ions in Matter” SRIM and β€œCasino Simulation” for electrons in the last three sections.



9

2.1 Elastic Collisions The β€œcollision” is the distance of closet approach governed by interatomic potentials between the incident ion and the target atom. At high energy (π‘˜π‘’π‘‰), the dominant mechanism of energy loss involves elastic interactions between the incident ion and screened nucleus. Thus, at this energy range the probability of a collision between three or more atoms is small. In elastic collision: β€’ β€’

The products after the collision are identical to the products before the collision. The total kinetic energy and momentum before the collision are equal the total kinetic energy and momentum after the collision.

Consider an incident particle with mass 𝑀! , velocity 𝑣! , momentum 𝑝! , and kinetic energy 𝐸! , striking rest target with mass 𝑀! . After the collision all the dynamic values of incident particle and target atom are determined by the scattering angle πœƒ, and recoil angle Θ in laboratory system. Now consider the situation in the center of mass system as shown in the Fig. 2.1. In CM coordinate the momenta for each particle unchanged after the collision. Therefore the CM momenta of all particles will be the same before and after collision. By using the conservation of kinetic energy and momentum, the transferred energy 𝐸! from the incident ion (𝑀! , initial energy 𝐸! ) to the target particle 𝑀! is

𝐸! = 𝑇! 𝑠𝑖𝑛!

πœƒ! πœƒ! = 𝛾𝐸! 𝑠𝑖𝑛! 2 2

(2.1)

where 𝑇! is the maximum energy transfer (πœƒ! = 0), and the energy factor

𝛾=

4𝑀! 𝑀! . (𝑀! + 𝑀! )!

(2.2)

For spherically symmetric static interaction potential 𝑉(π‘Ÿ), the scattering angle can be calculated as [2]



10

Fig. 2.1. Particle collision diagram between two particles as seen in the center of mass system. (Adapted from [2])

!

πœƒ! = πœ‹ βˆ’ 2𝑏

!!"#

π‘‘π‘Ÿ π‘Ÿ! 1 βˆ’

𝑉 π‘Ÿ 𝑏 βˆ’ 𝐸! π‘Ÿ

(2.3) ! !

where π‘Ÿ is the distance between the particles, 𝐸! is the kinetic energy in the center of the mass, and 𝑏 is the collision impact parameter which defined as a circle πœ‹π‘ ! around the target nucleus such that any incident projectile that passes anywhere within this area will deflected by some angle greater than πœƒ! as illustrates in Fig. 2.1. A head on collision is a special elastic collision between two particles in which the impact parameter 𝑏 equals to zero. In this case the maximum possible kinetic energy and momentum will transfer from the projectile to the target.

2.2 Screened Coulomb Potential At large impact parameter 𝑏, the screening effect of the atomic orbital electrons cause the potential felt by the incident ion to fall more rapidly than the 1/π‘Ÿ normal coulomb pointsource potential. Like the electric field of the nucleus is reduced inside an atom or ion due the shielding effect which describes the attraction between an electron and the nucleus in any atom with more than one electron shell. A useful frame of reference for describing collision interaction distance is bounded by the Bohr radius, π‘Ž! = 0.053 π‘›π‘š, and the spacing between neighboring atoms in the crystal, π‘Ÿ! = 0.25 π‘›π‘š. At (π‘Ÿ ≫ π‘Ÿ! ) and (π‘Ÿ β‰ͺ π‘Ž! ), we don’t need to take the screening

11

effect in consideration. But at intermediate distances (π‘Ž! < π‘Ÿ < π‘Ÿ! ), the outer electron shells will begin to overlap. Thus, the increase in energy required maintaining the electrons of nearby colliding atoms in some region of space without violating the Pauli exclusion principle. Overlapping of electrons must be accompanied by promotion of some of the electrons to higher unoccupied levels. Therefore, the coulomb interaction potential 𝑉(π‘Ÿ) between the collision atoms must be corrected by a screening function πœ’(π‘Ÿ) such that 𝑉 π‘Ÿ =𝑉 π‘Ÿ πœ’ π‘Ÿ =

𝑍! 𝑍! 𝑒 ! πœ’ π‘Ÿ . π‘Ÿ

(2.4)

For large distances πœ’(π‘Ÿ) β†’ 0, and for small distances πœ’(π‘Ÿ) β†’ 1. Ziegler, Biersack, and Littmark (ZBL; 1985) proposed the most general formula used to model interatomic potential known as β€œUniversal Screening Function” given by (Ziegler et al., 1985) πœ’! = 0.1818 exp βˆ’3.2π‘₯ + 0.5099 exp βˆ’0.9423π‘₯ + 0.2802 exp βˆ’0.4028π‘₯ + 0.02817 exp βˆ’0.2016 ,

(2.5)

Where π‘₯=

π‘Ÿ , π‘Ž!

(2.6)

and π‘Ž! =

0.8854π‘Ž! + 𝑍!!.!" )

(2.7)

(𝑍!!.!"

is called the universal screening length; the radius of the atomic electrons cloud that effectively screens the nucleus.

2.3 Scattering Cross-Section In this section, we will examine the probability of the ion-solid scattering events. The concept of the cross section playing a central role to understanding the physics of particle collisions, and how the energy transfers in a collision process. The cross section is a measure of the probability that an incident particle will experience a certain atomic or nuclear reaction with a target particle. By other words, cross section is simply the effective target area presented by each scattering center target nucleus to the incident beam. Imagine there is some kind of target and a particle that is interacting with the target along some classical trajectory as shown the Fig. 2.2. The differential angular cross section for scattering can be written in terms of impact parameter 𝑏 and the scattering angle πœƒ! as [1]



12

Fig. 2.2. Scattering of an incident particle by a center force (target atom)

π‘‘πœŽ(πœƒ! ) 𝑏 𝑑𝑏 = , 𝑑Ω sin πœƒ! π‘‘πœƒ!

(2.8)

where 𝑑Ω is solid angle. Absolute value because as πœƒ! increases 𝑏 decreases, so 𝑑𝑏 π‘‘πœƒ! is negative number. As shown, differential cross section measures the probability of the transferring energy 𝑇 in the range between 𝑇 and 𝑇 + 𝑑𝑇 to a target atom, or the probability of scattering a projectile into some angle between πœƒ! and πœƒ! + π‘‘πœƒ! . If the particle passes between 𝑏 and 𝑏 + 𝑑𝑏, it will scatter between πœƒ! and πœƒ! + π‘‘πœƒ! as it is clear in the Fig. 2.2. π‘‘πœŽ(πœƒ! ) is presented as a ring of radius 𝑏, a circumference 2πœ‹π‘, and width 𝑑𝑏. The relation between the impact parameter 𝑏 and the scattering angle πœƒ! is given by (2.3). As we see, the major problem is the choice of interatomic potential. For the normal coulomb interaction potential between two charged particles 𝑍! 𝑍! 𝑒 ! 𝑉 π‘Ÿ = , 4πœ‹πœ€! π‘Ÿ

(2.9)

where 𝑍! , 𝑍! are the incident and target particle atomic numbers, respectively, and 𝑒 is the elementary charge, the differential angular cross section will be [3] π‘‘πœŽ(πœƒ! ) 𝑏! = , 𝑑Ω 16 𝐸!! 𝑠𝑖𝑛! (πœƒ! 2)

(2.10)

where

13

𝑍! 𝑍! 𝑒 ! 𝑏= 4πœ‹πœ€! 𝐸!

(2.11)

is called collision diameter. Equation (2.10) is the famous Rutherford cross-section formula. We conclude that π‘‘πœŽ(πœƒ! ) 𝑑Ω is: β€’ β€’ β€’

Proportional to the atomic number 𝑍!! of the projectile and the atomic number 𝑍!! of the target. Inversely proportional to the square of the initial kinetic energy 𝐸! of the projectile particles. Inversely proportional to the forth power of sin (πœƒ! 2).

Define the probability function as the ratio of number incident particle undergoing a certain reaction with the target, 𝐼! , to the total number of the incident particles, 𝐼! as 𝑃 𝐸 =

𝐼! = π‘πœŽβˆ†π‘₯, 𝐼!

(2.12)

where 𝑁 is the number of target atoms per unite volume, βˆ†π‘₯ is the thickness of the target, 𝜎 is the cross section with unites of area. It’s more convenient to express the differential cross section as a differential energy transfer cross section π‘‘πœŽ(𝐸) 𝑑T as π‘‘πœŽ 𝐸, 𝑇 =

π‘‘πœŽ 𝐸 𝑑𝑇. 𝑑T

(2.13)

The relation between the probability function and the cross section is given by [4] 𝑃 𝐸, 𝑇 𝑑𝑇 =

1 π‘‘πœŽ 𝐸 𝑑𝑇, 𝜎 𝐸 𝑑T

(2.14)

where 𝑃 𝐸, 𝑇 is the probability that an incident particle with energy 𝐸 to produce an energy transfer in the range between 𝑇 and 𝑇 + 𝑑𝑇 while moving a distance βˆ†π‘₯. The total energy transfer cross section is given by 𝜎 𝐸 =

!!"# !!"#

π‘‘πœŽ 𝐸 𝑑𝑇, 𝑑T

(2.15)

where 𝑇! is the maximum transferred energy given according to the equation (2.1) and (2.2) as 𝑇! =



4𝑀! 𝑀! 𝐸, (𝑀! + 𝑀! )! !

(2.16)

14

and 𝑇!"# is a lower limit to the energy transfer process. Equation (2.15) gives us the total energy transfer cross section. We could find the total angular cross section by integrate equation (2.8) !

𝜎 πœƒ! =

π‘‘πœŽ πœƒ! 𝑑𝑏 = 𝑑b !!"#

!

2πœ‹π‘π‘‘π‘,

(2.17)

!!"#

where we used the fact that the solid angle is given by 𝑑Ω = 2πœ‹ sin πœƒ! π‘‘πœƒ! .

(2.18)

Equation (2.15) and (2.17) are equivalent. The integrating of π‘‘πœŽ 𝐸 𝑑T from 𝑇!"# to 𝑇! is the same as integrating of π‘‘πœŽ πœƒ! 𝑑b from 𝑏!"# (maximum impact parameter) to zero, i.e. 𝜎 𝐸 = 𝜎 πœƒ! . As we know, the form of the differential cross section is derived from the choice of the interatomic potential energy. Lindhard made an approximation for the differential energy transfer cross section [6] π‘‘πœŽ 𝐸 β‰… 𝐢! 𝐸 !! 𝑇 !!!! 𝑑𝑇,

(2.19)

where πœ‹ 𝑀! 𝐢! = πœ†! 2 𝑀!

!

2𝑍! 𝑍! 𝑒 ! π‘Ž !"

!!

,

(2.20)

where πœ†! is a dimensionless function of the parameter π‘š [5][6]. π‘š is a variable depends on the range of the energies. It has a range from 1 for high energies and zero for low energies [5]. π‘Ž !" is the Thomas-Fermi screening radius π‘Ž !" =

0.88534π‘Ž! (𝑍!! !

+

𝑍!! ! )!/!

,

(2.21)

Where π‘Ž! is the Bohr radius; 0.0529 nm, and 𝑍! and 𝑍! is the atomic numbers of the two atoms.

2.4 Ion Stopping Power When energetic ions with some initial energy penetrate inside the surface target, they start losing their energy at rate of few to 100 eV/nm [7]. This process of losing energy can be done either by colliding with the lattice atoms, which is elastic collision where conservation of energy and momentum apply (nuclear collisions), or by colliding with the electron shells of the lattice atoms, which is inelastic collision, and leads to the ionization



15

and excitation of the bound electrons (electronic collision). Nuclear collision is the mechanism that is responsible for the damages of the solids during ion implantation. Because the energetic ion beam is imparting some of it’s energy to the lattice atom and if that energy is high enough, then the lattice atom can get displaced from its original site. And that is how the defect site created (e.g., vacancies, interstitials.). Understanding of the energy loss mechanism is crucial to determine the nature of the lattice disorder produced during ion irradiation of the solid. The energy losing process depends on the energy, mass, and atomic number of the incident ion and also in the mass, atomic number, and density of the target material. We could write the equation of the energy-loss rate of an ion traveling through a target medium per length π‘₯ as 𝑑𝐸 = 𝑁(𝑆! 𝐸 + 𝑆! 𝐸 ), 𝑑π‘₯

(2.22)

where 𝑁 is the atomic density in unite atoms π‘›π‘š!! , 𝑆! (𝐸) is nuclear stopping cross section, and 𝑆! 𝐸 is electronic stopping cross section, as a function of the incident ion energy. Whether the ion is losing energy by nuclear stopping or by the electronic stopping, in both cases it is interacting with the target atom. So obviously, the loss is going to be proportional to the number of the target atoms available. That is why the term 𝑁 is coming into the equation. The energy-loss rate depends essentially upon the choice of the interatomic potential model. Nuclear stopping dominates at low energies and high atomic number of the incident ion 𝑍! , while electronic stopping dominates at high energies and low atomic number 𝑍! . But For the energy regime between ten to hundreds of KeV the nuclear stopping 𝑆! (𝐸) dominated, while 𝑆! 𝐸 is often neglected. Also we will not consider the extremely high ion energies cases, which need to take the relativistic effect into account. Therefore, we will only consider in this section the nuclear stopping 𝑆! (𝐸). The average energy-loss by an incident ion in the infinitesimal distance through some target material could obtained by multiplying the equation (2.14) by the transfer energy 𝑇 and integrating over all possible values of 𝑇, i.e.

𝑑𝐸 𝑑π‘₯

!

=𝑁

!!"# !!"#

𝑇

π‘‘πœŽ 𝐸 𝑑𝑇, 𝑑T

(2.23)

!"

where !" is the nuclear stopping power. !

From equation (2.22), 𝑆! 𝐸 will be



16

𝑆! 𝐸 =

!!"# !!"#

𝑇

π‘‘πœŽ 𝐸 𝑑𝑇. 𝑑T

(2.24)

By using equation (2.19), π‘‘πœŽ 𝐸 = 𝐢! 𝐸 !! 𝑇 !!!! , 𝑑T

(2.25)

taking 𝑇!"# = 0, the nuclear stopping cross section will be [7] 𝐢! 𝐸!!!! 𝑆! 𝐸 = 1βˆ’π‘š

4𝑀! 𝑀! 𝑀! + 𝑀!

!!! !

π‘š=

1 3 1 2

πœ€ ≀ 0.2 0.08 ≀ πœ€ ≀ 0.2

(2.26)

where πœ€(𝐸) =

𝑀! π‘Ž !" 𝐸 (𝑀! + 𝑀! ) 𝑍! 𝑍! 𝑒 !

(2.27)

is the reduced energy in terms of the lab ion energy 𝐸. π‘Ž !" is the Thomas-Fermi !" screening radius given by the equation (2.21). Now, !" will be !

𝑑𝐸 𝑑π‘₯

!

= 1.308πœ‹π‘Ž !" 𝑁𝑍! 𝑍! 𝑒 !

𝑀! 𝑀! + 𝑀!

π‘“π‘œπ‘Ÿ π‘š = 1/2.

(2.28)

Equation (2.28) gives a good approximation through most of the keV energy range. Ziegler, Biersack, and Littmark (ZBL; 1985) proposed universal nuclear stopping for an ion with energy 𝐸 depending on the Universal Screening Function given by the equation (2.5); 𝑆! 𝐸 =

8.462Γ—10!!" 𝑍! 𝑍! 𝑀! 𝑆 πœ€ (𝑀! + 𝑀! )(𝑍!!.!" + 𝑍!!.!" ) !

π‘’π‘‰π‘π‘š! , π‘Žπ‘‘π‘œπ‘š

(2.29)

where the reduced nuclear stopping cross section is

𝑆! πœ€ =

0.5 ln(1 + 1.1383πœ€) (πœ€ + 0.01321πœ€ !.!"!!# + 0.19593πœ€ !.! )

π‘“π‘œπ‘Ÿ πœ€ ≀ 30,

(2.30)

and

17

𝑆! πœ€ =

ln (πœ€) 2πœ€

π‘“π‘œπ‘Ÿ πœ€ > 30.

(2.31)

The reduced energy here is different than in the equation (2.27). The form of ZBL reduced energy is

πœ€ 𝐸 =

32.53𝑀! 𝐸 . 𝑍! 𝑍! (𝑀! + 𝑀! )(𝑍!!.!" + 𝑍!!.!" )

(2.32)

2.5 The Range of Ions in Solids When the energetic ion beam entered the surface target it will deflected because of its collision with the lattice atoms or with the electronic shells. For the energy regime between ten to hundreds of KeV with heavy implanted ions, the nuclear stopping power will dominate. The interesting question here is; where has the ion finally stopped? When the ion energy becomes zero, at this point the ion comes to rest. This is called the range of the ion 𝑅, which define as the distance traversed during which the ion energy has fallen from its initial energy to zero. The range 𝑅 is define as !

𝑅=

𝑑π‘₯ ,

(2.33)

!

where π‘₯ is the point when energy falling to zero. By using equation (2.22), with neglecting electronic stopping power, we get 1 𝑅 𝐸 = 𝑁

!

𝑑𝐸 , !! 𝑆! 𝐸

(2.34)

where 𝐸! is the initial energy, 𝑁 is the concentration of the implanted ions, 𝑆! 𝐸 is given by (2.26), therefore 𝑅 𝐸 =

1 βˆ’ π‘š 𝛾 !!! !! 𝐸 , 2π‘š 𝑁𝐢!

(2.35)

where 𝛾 is given by (2.2). Obviously, there is a direct proportionality between the incident energy and the depth penetration.



18

When the ion penetrates the target surface, it doesn’t travel to its resting place in a straight path. The actual path traveled by ion is so random, and the ion could come to rest at anywhere as shown in the Fig. 2.3. The straight path (projection 𝑅 on direction of ion incidence) is called the projected range 𝑅! . The stopping of an ion traveled inside materials is not certain process. Rather it is very stochastic (random) process. Therefore, projected range will not be exactly the same for all the ions. It will be characterized by the average of 𝑅! (mean) and the variation in the projected range, which is actually the standard deviation in statistical language, and in ion-solid interactions language, it is called the straggle βˆ†π‘…! (standard deviation from the mean). As a result, ions with the same energy and incident angle onto the same material do not necessarily come to rest in the same place. If we assume that the target is perfectly amorphous; that there is no symmetry in the target, then the ion implantation profile will be given as a simple Gaussian function [3] 𝑁 π‘₯ = 𝑁!"# exp βˆ’

(π‘₯ βˆ’ 𝑅! )! 2 βˆ†π‘…!

(2.36)

where 𝑁!"# =

πœ™ (2πœ‹)!/!

(2.37) βˆ†π‘…!

is the maximum of the contribution profile. It occurs at π‘₯ = 𝑅! . At that distance the maximum number of ions are going to the rest. πœ™ is the dose β€œthe number of atoms absorbed by a medium in unites of atoms π‘π‘š!! . It is related the ion depth distribution by !!

πœ™=

𝑁 π‘₯ 𝑑π‘₯.

(2.38)

!!

According to this formula, we will control the dose in order to control peak concentration. The dose is actually depends on the ion beam current β€œnumber of ions per unite time”. Therefore, in the instrument, controlling dose is simply means controlling the ion beam current or irradiation time. There are many theories that relate the mean projected range 𝑅! and the range 𝑅. An approximation measure of the projected range following theory of Lindhard (1963) [8] 𝑅! β‰…



𝑅 , 𝑀! 1+ 3𝑀!

(2.39)

19

𝑅

𝑅!

Fig. 2.3. A schematic diagram of the path of a single ion that has entered the surface target. 𝑅 is the range, 𝑅! is the projected range where 𝑀! is the ion mass, 𝑀! is the target mass. The projected ion straggling βˆ†π‘…! is related to the projected range, for the condition where nuclear stopping dominated, by [8] βˆ†π‘…! β‰… 0.4𝑅! .

(2.40)

For lighter incident ions in a heavier material (𝑀! < 𝑀! ); βˆ†π‘…! will be larger (wider depth distribution), while for (𝑀! > 𝑀! ); βˆ†π‘…! is smaller (normal Gaussian distribution). As an example, Fig. 2.4 show the depth concentration profiles for 𝐡 and 𝐴𝑠 ion implantation in 𝑆𝑖 with dose πœ™ = 10!" π‘π‘š!! and different initial energies.

2.6 Interaction of electrons with matter Although equation (2.29) was derived based on Rutherford scattering of massive projectile, it also holds for incident electrons. But the electron interactions with matter differ from those of heavy charged particles in some important aspects. For electrons, the relativistic corrections become important at relatively low kinetic energies (several of hundred 𝐾𝑒𝑉). In addition, electron projectile can transfers the incident energy to the atomic electrons. Collisions may also results in elastic and inelastic scattering with angular deviations. Interaction of incident electrons with nuclei leads to radiation of electromagnetic waves. This emission of photons (bremsstrahlung) is very important mechanism for energy loss, especially, for high-energy electrons. Radiation loss may actually exceed collision loss.



20

Fig. 2.4. Gaussian depth concentration profiles for 𝐡 and 𝐴𝑠 ion implantation in 𝑆𝑖 with dose πœ™ = 10!" π‘π‘š!! [11] The complete mass collision stopping power of electrons, according to the ICRU Report 37, is given as 𝑆 𝐸! = 2πœ‹π‘Ÿ!!

𝑍 π‘š! 𝑐 ! 𝐸! 𝑁! ! 𝑙𝑛 + ln (1 + 𝜏 2) + 𝐹 𝜏 βˆ’ 𝛿 , 𝐴 𝛽 𝐼

(2.41)

where π‘Ÿ! = 𝑒 ! /(4πœ‹πœ€! π‘š! 𝑐 ! ) = 2.818 π‘“π‘š is the classical electron radius 𝑍 and 𝐴 are atomic number and atomic mass number. 𝑁! is the atomic density, i.e., number of atoms per unit mass 𝛽 is the velocity normalized to 𝑐, i.e., 𝛽 = 𝑣/𝑐 𝐸! is the kinetic energy 𝐼 is the mean ionization/excitation potential corresponds to the minimum amount of energy βˆ†πΈ!"# that can be transferred, on average, to an absorber atom in a coulomb interaction between a charged particle and an orbital electrons 𝜏 is the electron kinetic energy normalized to π‘š! 𝑐 ! , i.e., 𝜏 = 𝐸! /π‘š! 𝑐 ! . 𝛿 is the density effect correction The function 𝐹 𝜏 is given as 𝐹 𝜏 = 1 βˆ’ 𝛽 ! [1 + 𝜏 ! 8 βˆ’ 2𝜏 + 1 𝑙𝑛2] ,

(2.42)

The primary electron that enters the surface target will undergo numerous scattering events. The probability that a specific electron will be scattered is defined by its mean free path πœ†, which is given by [38] πœ† = 1 π‘πœŽ ,

(2.43) 21

where 𝑁 is the number of target particles per unite area, 𝜎 is the effective cross sectional area for collisions. πœ† gives the average distance traveled by electron through the target before being scattered. The probability of an electron undergoing 𝑛 scattering events as penetrate through the target is given by Poisson equation [38] 𝑝 𝑛 = 1 𝑛! π‘₯ πœ† ! exp(βˆ’ π‘₯ πœ†) ,

(2.44)

where π‘₯ is the traveled distance. As we have mentioned above, the electron beam could interacts with the target inelastically and elastically. These interactions are responsible for a multiple signals that we could detect it: scattered primary electron, ejected secondary electron, backscattered electron, Auger electron, Characteristic X-ray, and cathodoluminescence. Fig. 2.5 illustrates all these signals. We will explain all these interactions. Inelastic interactions occur when the incident electron is transferred to the electric field of the orbital electrons of the atoms. Hence, after the interaction electron energy is reduced. Primary electrons may transfer enough energy to K or L shell electron to knock it out of the atom. Theses ejected electrons known as Secondary Electron (SE) with energy 𝐸 < 50 𝑒𝑉. 90% of SEs have energy 𝐸 < 10 𝑒𝑉, most, from 2 βˆ’ 5 𝑒𝑉. Because secondary electrons have low energies, they can only escape from regions near the surface (5 βˆ’ 50 π‘›π‘š). The hole left by primary incident electron can be filled by a higherlevel orbital electron, releasing an X-ray characteristic of that energy transition is produced. An alternative to X-ray emission is the ejection of an outer electron carrying away the transferred of the primary electron as kinetic energy, this process known as Auger effect. Auger electrons have low energies and could easily absorb by the target atoms or by any atom in the vacuum. Their detection needs very high vacuum system. The probability of Auger electron emission instead of X-ray emission increases for light elements. If the primary electron ejects an outer electron from an atom, the hole will be filled by a higherenergy electron (from the conduction band), but the energy difference is small and therefore the Characteristic radiation emitted with low frequency, typically, in the visible spectrum, known as Cathodoluminescence. Elastic interactions occur when the incident electron interacts with the electric field of the nucleus of target atoms, resulting in a change in direction of the incident electron. In this case no energy is transferred from electron to sample. As a result, electrons leaving the sample without a significant change in the energy of the incident electron < 1𝑒𝑉. A strong elastic scatter, very close, to the nucleus may result in beam electron leaving the target via back scattering called Backscattered electron (BSE). These electrons provide an important class of information for SEM imaging, as we will see in Ch. 4. BSEs can have an energy range from 50 𝑒𝑉 to nearly the incident beam energy. More BSEs means brighter image, therefore samples with high atomic number appear brighter than those with lower 𝑍.



22

Fig. 2.5. The possible interactions between incident electron and atom Both elastic and inelastic interactions together distribute the beam of electrons over a 3-Dimentional region inside the material, called interaction volume (Fig. 2.6). The size and the shape of the interaction volume depend on the relative amount of elastic and inelastic interactions. Secondary and backscattered electrons have different maximum depths. The escape depth of SEs is approximately 5 βˆ’ 50 π‘›π‘š, while BSEs can escape from a depth a hundred times greater, and X-rays greater yet, up to microns (see Fig. 2.6). The interaction volume and penetration of the electron beam into the sample are dependent on a number of parameters: accelerating voltage, atomic number 𝑍, and tilt angle. The penetration of the electron beam increases with increasing accelerating voltage. High voltage means decreasing in energy loss in the target, and thus beam of electrons penetrate deeper into the sample. Also, the probabilities of elastic scattering decrease as electron energy increases as 1 𝐸 ! . The penetration depth is inversely proportional to the atomic number of the sample. The rate of energy loss of the electron beam increases with 𝑍 and thus electrons do not penetrate deeply into the target. Also, the probability for elastic scattering increases with atomic number (as 𝑍 ! ), causing the interaction volume to widen.

2.7 SRIM Simulations TRIM (the Transport of Ions in Matter) is part of the SRIM (Stopping and Range of Ions in Matter) is a collection of computer programs which calculate interactions of ions with matter through quantum mechanical treatment of ion-atom collisions [9]. The programs



23

Fig. 2.6. Interaction Volume of electron beam with matter [38]

were developed by J.F Ziegler and J.P. Biersack in the beginning of 1980s, and it is upgrade each five years depends on the data of many recent experiments [10]1. SRIM is very popular in the ion implantation research and used widely in other branches of radiation material science. The input parameters are: the ion type, incidence energy, incidence angle, number of incoming ions, and the material of one or several target layers. SRIM uses Monte Carlo simulation method, namely the binary collision approximation with a random selection of the impact parameter of the next colliding ion. The output lists or plots are: β€’ β€’ β€’

3D distribution of the ions in the solid and its parameters, such as penetration depth, projected range, straggle, and all target atom cascades in the target. Models kinetic phenomena associated with ion’s energy loss such as target damage, sputtering, ionization, and phonon production. Energy partitioning between the nuclear and electron losses, energy deposition rate.

1 http://www.srim.org

24

There are some important approximations that SRIM program depends on them: β€’ β€’ β€’ β€’

It doesn’t take into account the crystal structure. It’s only for amorphous targets. Therefore description of ion channeling effects is not possible. There is no description of dynamic composition changes in the material after irradiation. The interatomic potential used is the universal form, equation (2.5), which is an averaging fit to quantum mechanical calculations. The electronic stopping power is an averaging it to a large number of experiments.

2.8 Ion Stopping Power Calculations Using SRIM We discussed Ion Stopping Power and Range, theoretically, in section 2.4. Now, by using SRIM we calculated the electronic and nuclear energy loss-rate 𝑑𝐸/𝑑π‘₯, projected range, longitudinal straggling, and lateral straggling. Table 2.1 shows the results of Gallium ions implanted in Silicon nitride target at energies ranging between 10 and 150 keV.

2.9 Casino Simulation Casino is a Monte Carlo simulation of a large number of electron trajectories on solids 2. It is a highly useful tool to simulate the scattering of electron beam in a material. It is specially designed for low beam interaction in a bulk and the foil. The construction of casino is based on appropriate different models to calculate the scattering angles, distances between scattering sites (step length), and the rate of energy loss with distance traveled. This simulation could produce different recorded signal like X-rays and backscattered electrons. Thus it is possible to predict, theoretically, the signals observed in SEM. Effect of different parameters like atomic number Z, beam tilt angle, accelerated voltage (0.1 to 30 𝐾𝑒𝑉) can be studied using these simulation. Also it us allow to simulate multi-layers sample and grain boundary. Using the Mote Carlo depiction of the interaction volume, consider a hemisphere constructed with radius whose origin is the entry point of the beam into the specimen as shown in the Fig. 2.7, the effective interaction volume can be calculated using the electron range, 𝑅 [39] 𝑅(πœ‡π‘š) =

0.0276 𝐴 𝐸!!.!" , 𝜌 𝑍 !.!"

(2.45)

2 http://www.gel.usherbrooke.ca/casino

25

Table. 2.1. SRIM data for Ga ion in Silicon Nitride target



26

where 𝐴 is the atomic weight (𝑔/π‘šπ‘œπ‘™π‘’), Z is the atomic number, 𝜌 is the density (𝑔 π‘π‘š! ), and 𝐸! is the initial beam energy. The electron range is useful for rough comparisons and scaling various signal distributions. For using this formula, the specimen is assumed to be electron-opaque and there are no edges or boundary within electron range. Let’s take Iron as example; 𝐴 = 55, 𝑍 = 26, 𝜌 = 7.87 𝑔 π‘π‘š! : Accelerating voltage (𝐾𝑉) 30 15 5 1

Primary electron range (πœ‡π‘š) 3.1 0.99 0.16 0.01

Fig (2.8), (2.9), and (2.10) show the Casino simulation of a bulk of Iron at 1, 5, and 15 KV, respectively. The backscattered electron signal is shown in red, the energy range of the electron beam with the sample is shown from high (yellow) to low (blue). Fig (2.11) and (2.12) show the energy range for bulk samples of Carbon, Iron, and Gold at 5 𝐾𝑉, respectively. Fig (2.13) shows the interaction volume Iron at 5 KV and 60 degree of tilt. We observe that the interaction volume becomes more a symmetric with the degree of tilt.

Fig. 2.7. Electron range



27

Fig. 2.8. Simulation of 1 KV of electron beam in Iron bulk

Fig. 2.9. Simulation of 5 KV of electron beam in Iron bulk



28

Fig. 2.10. Simulation of 15 KV of electron beam in Iron bulk

Fig. 2.11. Simulation of 5 KV of electron beam in Carbon bulk



29

Fig. 2.12. Simulation of 5 KV of electron beam in Gold bulk

Fig. 2.13. Simulation of 5 KV of electron beam in Iron bulk with 60 degree of tilt



30

Chapter 3 Sputter Erosion

Introduction As we have discussed in the previous chapter, during ion irradiation, the ion with sufficient energy (above lattice displacement energy π‘ˆ! ) can displace an atom from its lattice site, this known as a primary knock- on atom (PKAs). The PKA can displace other atoms producing secondary knock- on atoms, and this in turn can produce third knockon, etc., and thus producing a multiple displacement sequence of collision events known as a collision cascade. If the recoil atoms approach the surface with enough energy, some of the atoms near the surface will escape. This process is known as sputtering or sputter erosion, due to the surface erosion of materials on atomic scales. Thus, sputtering process leads to the changing in the surface topography. A schematic presentation of this sputtering process is given in the Fig .3.1. This chapter introducing Sputtering models starts with Sigmund’s formula for sputtering yield, semi-empirical equations based on Sigmund’s formula.

Fig. 3.1. Schematic of possible ion-solid interactions [3]



31

3.1 Sputtering Yield Formulas Sputtering Yield π‘Œ, is defined as the number of the ejected particles per incident ion

π‘Œ=

π‘Žπ‘‘π‘œπ‘šπ‘  π‘Ÿπ‘’π‘šπ‘œπ‘£π‘’π‘‘ . 𝑖𝑛𝑐𝑖𝑑𝑒𝑛𝑑 π‘π‘Žπ‘Ÿπ‘‘π‘–π‘π‘™π‘’

(3.1)

For example, there is 1-2 sputtered atoms for a 20 keV π΄π‘Ÿ ! incident on 𝑆𝑖 [7]. It’s clear from the definition that, the number atoms removed is proportional to the number of incident ions. The sputtering yield has its maximum value when the incident ion energy is somewhere between 5-50 keV [5]. At higher energies, the ion penetrates deeper into the target and fewer surface atoms are removed, lowering the sputtering yield [5]. Thus, for direct ion implantation into a target material, the maximum concentration of implanted species is inversely proportional to the sputter yields. The sputter yield typically lies in the range 0.5-20 [3]. Most of the emitted particles during sputtering are secondary electrons, only a very small fraction of the emitted particles are excited atoms or secondary ions. For example, for the bombardment of a 𝑆𝑖 surface by πΊπ‘Ž! ions with 30 KeV: π‘Œ = 2.4, secondary electron yield in the range 1-5, secondary ion yield between 10!! βˆ’ 10!! , photon yield ~10!! , and the implantation depth 𝑅! = 10 π‘›π‘š [3]. Sputtering yield depends upon a lot of physical parameters: β€’ β€’ β€’ β€’ β€’ β€’ β€’ β€’

Composition of the target material Ion energy Ion incident angle Surface binding energy Surface topography Ion mass number Target mass number Crystal orientation

3.2 Sputtering Models Sigmund’s Formula The sputter yield π‘Œ must be proportional to the number of the displaced or recoil atoms per impinging ion. The number of recoils is proportional to the energy deposited at the target. Assuming a random slowing down of particles in an infinite medium, Sigmund developed an equation for yield π‘Œ that is a function of collision cross section, and atomic binding energies. It is expressed as [12]



32

π‘Œ(𝐸) = Λ𝐹! (𝐸) ,

(3.2)

with Ξ› the material factor, and 𝐹! (𝐸) is the deposited energy close to the surface in nuclear processes, which can be expressed as 𝐹! 𝐸 = 𝛼𝑁𝑆! (𝐸),

(3.3)

where 𝑁 is the atomic density of target atoms in (π‘›π‘š)!! , 𝑆! 𝐸 is the nuclear stopping cross section at energy 𝐸 given by (2.29). The parameter 𝛼 is a function of the target to ion mass ratio and can be approximated as [13] 𝛼 = 0.15 + 0.13

𝑀! . 𝑀!

(3.4)

!"

The product 𝑁𝑆! 𝐸 = !" is the nuclear stopping power. In Sigmund description !

(1981), the material factor Ξ› is given as [12] Ξ› β‰… 0.042 π‘π‘ˆ! ,

(3.5)

π‘ˆ! is the surface binding energy in 𝑒𝑉. For 𝑆𝑖, π‘ˆ! = 4.6 𝑒𝑉. Therefore, we can write Sigmund’s formula as π‘Œ 𝐸 =

0.042 𝛼𝑆! 𝐸 . π‘ˆ!

(3.6)

Figure. 3.2 showing the sputtering yield of a 𝑆𝑖 target bombarding with π΄π‘Ÿ . The sputtering yield starts increase with increasing ion energy up to 30 𝐾𝑒𝑉, after that energy the sputtering yield saturates. Then at higher energies, the sputtering yield decreases again. But for high ion mass incident, the curve will not decrease at high energies, it will achieve to a constant value. Figure. 3.3 illustrate the sputtering yield of a 𝑁𝑖 target bombarding with different impinging ions. We can observe that the sputtering yield curve for π΄π‘Ÿ does not decrease in the high-energy range. For very heavy ions, the interaction energy and with it the sputtering yield π‘Œ saturate to a constant value. Sigmund’s formula is not match the experimental data at low energies (𝐸 < 100 βˆ’ 200 𝑒𝑉) [6]. However, some semi-empirical formulas developed based on Sigmund’s formula to correct the deviations from the experimental data in Sigmund theory.



33

Fig. 3.2. Sputtering yield of the 𝑆𝑖 as a function of the incident π΄π‘Ÿ energy. The solid line represents Sigmund’s formula. Solid circles represent the experimental data, and the plus signs calculated from SRIM simulations [7]

Fig. 3.3. Sputtering yield of a 𝑁𝑖 target bombarding with different impinging ions [14]



34

Bohdansky Formula Bohdansky formula is just applying some correction factor to Sigmund’s formula for better correlation with published experimental data for sputtering yields. It is given as [15] π‘Œ 𝐸 =

!/!

0.042𝛼𝑆! 𝐸 𝑅! 𝐸!! 1βˆ’ π‘ˆ! 𝑅 𝐸

1βˆ’

𝐸!! 𝐸

!

(3.7)

,

where 𝑅! 1 = , 𝑀! 𝑅 0.4 +1 𝑀!

(3.8)

and 𝑀! 𝛼 = 0.3 𝑀!

!/!

(3.9)

,

The threshold energy, 𝐸!! is calculated as

𝐸!!

π‘ˆ! 𝛾(𝛾 βˆ’ 1) = 𝑀! 8π‘ˆ! 𝑀!

(3.10)

π‘“π‘œπ‘Ÿ 𝑀! 𝑀! ≀ 0.3 !/!

π‘“π‘œπ‘Ÿ 𝑀! 𝑀! > 0.3

Yamamura-Matsunami Formula Yamamura-Matsunami, also known as third Matsunami formula, is other semi-empirical formula applying other correction factor, based on more experimental data around sputtering experiments. According to latest version, Yamamura-Matsunami formula written as [16] π‘Œ 𝐸 = 0.042

𝑄𝛼 βˆ— 𝑀! 𝑀! 𝑆! 𝐸 1 βˆ’ 𝐸!! 𝐸 π‘ˆ! 1 + Ξ“π‘˜! πœ– !.!

!

,

(3.11)

Where Ξ“=



π‘Š 1 + 𝑀! 7

(3.12) !

35

π‘˜! = 0.079

𝑀! + 𝑀! !/!

!/! !/!

!/!

!/!

𝑀! 𝑀!

𝑍! 𝑍! !/!

𝑍!

!/!

+ 𝑍!

, !/!

(3.13)

and π›Όβˆ— =

0.249 𝑀! /𝑀! !.!" + 0.0035 𝑀! /𝑀! !.! 0.0875 𝑀! /𝑀! !!.!" + 0.165 𝑀! /𝑀!

π‘“π‘œπ‘Ÿ 𝑀! ≀ 𝑀! π‘“π‘œπ‘Ÿ 𝑀! β‰₯ 𝑀!

(3.14)

The threshold energy is calculated as 6.7 𝐸!! 𝛾 = 1 + 5.7 𝑀! 𝑀! π‘ˆ! 𝛾

π‘“π‘œπ‘Ÿ 𝑀! β‰₯ 𝑀!

(3.15)

π‘“π‘œπ‘Ÿ 𝑀! ≀ 𝑀!

The parameters 𝑄, π‘Š, and 𝑠 are tabulated in Ref [25] Wilhelm’s Formula Sigmund’ theory, Equation (3.6), is based on binary collisions between atoms, However, Wilhelm developed a model that deals with ion collision with two atoms, three-body collision [17]. However, Wilhelm model involves the use of quantum statistical parameters. This model is appropriate for predicting sputtering yield at energies near threshold (low energies). Wilhelm’s formula given as [17] Y = K (E βˆ’ 𝐸!! )!

(3.16)

where 𝐾 is a constant encode all the quantum statistical parameters. Fig. 3.4 shows the sputtering yield as a function of the energy for the different models.



36

Fig. 3.4. Sputtering yield as a function of the ion energy for different models (Monte Carlo SRIM simulation)



37

Chapter 4 Experimental Apparatus Introduction This chapter discusses the apparatus that used in this thesis. In this first section we will introduce the general principle of ion implantation system. The five basics steps of ion implantation: the ion source, the accelerator stage, the mass analyzer, the beam scan system, and the target chamber, are explained generally. Next, the principle of ion implanter at Leipzig University – Institute of Experimental Physics II, which has been used in this experiment, is summarized. In section 4.3 we will explain the Focused ion beam system FIB. FIB systems use a finely focused beam of ions (usually gallium) that can be operated at low beam currents for imaging or high beam currents for site specific sputtering or milling. By using FIB milling system, we drilled many nanopores on the 𝑆𝑖! 𝑁! (50 π‘›π‘š thickness) with various sizes. These samples will irradiate by electron and !" .𝐢 ion beam to see how they deform. The principle of electron beam or scanning electron microscope is given in section 4.4.



38

4.1 Principles of Ion Implantation System Energetic ion beams are very important instruments for research and material processing. For processing and analysis for many materials a wide range of ion species, ion energies, and ion currents have been developed. In this section we will discuss the different parts of ion implantation system, the important parameters in ion implantation system, controlling the ion current density. All implanter’s designs follow five basic steps: (1) the ion source, (2) the accelerator stage, (3) the mass analyzer (4) the beam scan system, and (5) the target chamber. Fig. 4.1 shows these steps. Let’s start with the ion source, there are two important steps here: a dopant source and an ionization scheme. Dopant source is a compound of the dopant material and it is usually a gas. Then, for ionization scheme, normally, an electric discharge is used in order to ionize the gas, so that we get the ion current beam of the dopant. Sometimes magnetic field is used in addition to the electric field to improve the efficiency. At the end of the ion source, there is a small outlet, through which now the ionized dopant species can pass. Ion source for large area ion implantation provide ions with different energies and relative high fluences corresponding to ion currents in the πœ‡π΄ to π‘šπ΄ range. The maximum ion current density 𝑗!" extracted from a plasma ion source is given by Child – Langmuir formula [22, 23]: 4πœ€! 2π‘›π‘ž Ξ¦!/! 𝑗!" = , 9 π‘€π‘š! 𝑑 !

(4.1)

where πœ€! is the permittivity, π‘ž the electric charge, 𝑛 charge state, 𝑀 the mass in atomic unites, π‘š! the atomic mass unite, and Ξ¦ the potential drop across the extraction gap 𝑑. The emission limited ion current density 𝑗! is given as !!"

𝑗! = 1.57 Γ— 10

𝑛!

𝑧𝑇! , 𝑀!

(4.2)

where 𝑛! is the ion density in the plasma, 𝑧 the charge state of the ion, 𝑇! the ion temperature, and 𝑀! is the ion mass. After ion source stage, the ions will go to the next stage; the accelerator stage. When the ions accelerated by some appropriate voltage, they will go to the mass separation step. Mass separation allows us to select a particular ion species. In a mass separator, usually, for ion implantation, homogenous magnetic field analyzer is used. The initial ion beams are a mixture of different types of ionized atoms and molecules, with a particular mass.



39

Fig. 4.1. Schematic five basic steps of ion implanter system [3] By subjecting them to a uniform magnetic field 𝐡, perpendicular to the beam direction. The ions entering the magnet are moving now on a circular path with the radius π‘Ÿ: 𝑀𝑣 1 2𝑀 π‘Ÿ= = π‘ˆ , π‘žπ΅ 𝐡 π‘ž !"#

(4.3)

where π‘ž and 𝑀 are the charge and mass of the ion, respectively, π‘ˆ!"# is the extraction voltage, and 𝐡 is the magnetic field intensity. Equation (4.3) indicated that the ions with different masses and equal energy are deflected to different paths, as shown in the Fig. 4.2. With known the proportionality between the radius and the mass, we can place a screen with a slit suitably, such that, only that particular ion species with particular mass will pass through this slit. In the case of the symmetric arrangement where the ion beam enters and leaves perpendicular a 90 – magnet, as in Fig. 4.3, the separation 𝐷 for a mass difference βˆ“ βˆ†π‘€ is given by [24][25] 𝐷=βˆ“

π‘Ÿβˆ†π‘€ 𝐿 (1 βˆ’ π‘π‘œπ‘ πœ‘ + π‘ π‘–π‘›πœ‘), 2𝑀 π‘Ÿ

(4.4)

where π‘Ÿ is the radius of the analyzing magnet, 𝐿 is the length from the magnet to the image screen, and πœ‘ is the angle between magnet entrance screen and exit screen. Finally, we can scan the ion beam with a usual diameter of some π‘šπ‘š to π‘π‘š in two directions ( π‘₯𝑦 direction). In ion implantation it is to distribute the ion influence homogenously over large sample areas at the final target chamber. For a continues beam of ions with an ion current 𝐼 and a given measuring area 𝐴, the total number of ions incident on the target per unite area (fluence) in a time 𝑑 is given by



40

Fig. 4.2. Schematic of the basic sector magnet for mass analyzing, the higher masses are less deflected and the lower masses more [3].

Fig. 4.3. Schematic of the beam scan system [3].



41

1 𝑁= 𝐴

! !

𝐼 𝑑𝐼 𝑑𝑑 = . π‘ž 𝑛𝑒𝐴

(4.5)

For single ions a measured current of 1Γ—10!! 𝐴 corresponds to about one billion ions striking area of 1 π‘π‘š! each second. So, we can control the fluence by controlling either current or implantation time, or both of them together.

4.2 Ion Implanter As we said in the previous section, ion implanter, in general, follow five basic steps: Ion source, ionized, accelerated, separated by the mass to charge ratios, and directed at a target. Fig. 4.4 illustrates these basic steps. The ions will collide with that target, loss energy, and then finally stopped at some depth within solid. Ion implantation energies range from several hundred to several million electron volts. The fluence can range from 10! to 10!" ions per π‘π‘š! . Due to implantation purpose, different ion implanters have been developed with different energies and currents range. There are different techniques to prepare initial ions. In Leipzig University – Institute of Experimental Physics II, the ion implanter design of the source of negative or positive ions depends on the Cesium Sputtering idea. In sources of this type, neutral cesium is introduced by cesium oven (Fig. 4.6) into an enclosed area between the cooled cathode and the heated ionizing surface, where the plasma is generated. As you can see from Fig. 4.7, some of the cesium condenses on the front of the cathode and some of the cesium is ionized by the hot ionizer surface, the material of interest is maintained at a negative potential relative to the discharge chamber, and then the ionized cesium will accelerate towards the cathode, sputtering particles from the cathode through the condensed cesium layer, which covered the material of interest. Some materials will preferentially sputter negative ions. Other materials will preferentially sputter neutral or positive particles. Negative ions produced in the sputtering process, are accelerated cross the thin plasma sheath and focused through the exit aperture. Then a magnetic field applied perpendicularly to the beam direction in the ion emission aperture, so the secondary and plasma electrons were eliminated from the extracted beam. Thus, only the negative ion beam was extracted and transported through an einzel lens. The extracted ion beam will accelerate by 100 kV voltages (Fig. 4.8). Then magnetic analyzer will filter the ions according to their mass to charge ratio. Fig. 4.9, 4.10, 4.11 shows extractor, aperture/ lens, and target chamber, respectively.



42

Fig. 4.4. Schematic drawing of ion implantation system [7]



43

Fig. 4.5. The ion implanter at Leipzig University – Institute of Experimental Physics II

Fig. 4.6. Cesium Oven



44

Fig. 4.7. The source of negative ions depends on the cesium sputtering

Fig. 4.8. 100 kV accelerator



45

Fig. 4.9. Extractor

Fig. 4.10. Aperture and lens



46

Fig. 4.11. Target chamber

4.3 Focused Ion Beam System FIB Focused ion beam FIB systems have been produced commercially for approximately twenty years. FIB becomes an important tool for solid-state microanalysis and materials research [26]. FIB operates in a similar fashion to a scanning electronic microscope SEM except, rather than a beam of electrons, FIB systems use a finely focused beam of ions (usually gallium) that can be operated at low beam currents for imaging or high beam currents for site specific sputtering or milling. Focused ion beams in the ion range 1- 100 keV are able to modify or pattern solid-state surfaces. FIB gives a fast material removed with low damage generation in the near surface region. Cross – sectional cuts prepared by FIB milling can be easily investigated by scanning electronic microscope SEM. For this purpose FIB can be incorporated in a system with both electron and ion beam columns known as Dual Columns System (Fig. 4.12) allowing the same feature to be investigated using either of the beams [26]. The ion sources in FIB systems are always liquid metal ion sources (LMIS). Mainly gallium ion sources providing a point like ion emission. In a gallium LIMS, gallium metal is placed in contact with a tungsten needle and heated gallium wets the tungsten and flows to the tip of the needle where the opposing forces of surface tension and electric field from the gallium into cusp shaped tip called a Taylor cone. The tip radius of this cone is extremely small (~2π‘›π‘š ). The huge electric field at this small tip (~10! π‘‰π‘π‘š!! ) causes ionization and field emission of the gallium atoms. The schematic diagram of the FIB column is shown in the Fig. 4.13. For Gallium ion sources typical extracted ion currents are in the order of some πœ‡π΄. The ion column itself has two lenses; a condenser lens and an objective lens. Once the ions are extracted from the LMIS, they are

47

accelerated through a potential of typically 5-50 kV applied to the upper condenser lens down the ion column to the ground sample stage. The most important parameter of the ion beam is the beam spot diameter 𝑑 in the focus on the sample. Assuming a Gaussian ion distribution in the beam and consider paraxial trajectories (axial ion velocity and energy spread) the spot diameter is given by [27] 𝑑=

(𝑀! 𝑑! )! + (𝑑! )! + (𝑑! )! ,

(4.6)

Where 𝑀! = ∝! ∝ is the magnification of the lenses in the ion column determined by the acceptance half angles of the condenser lens ∝! and convergence half angle of the objective lens ∝. 𝑑! is the virtual ion source diameter from which the ions are emitted, and 𝑑! and 𝑑! are expressed by the two formulas: 1 𝑑! = 𝐢! ∝! , 2

𝑑! = 𝐢!

βˆ†πΈ ∝, 𝐸

(4.7)

where 𝐢! , 𝐢! are spherical and chromatic aberrations coefficients, respectively. In the relation βˆ†πΈ 𝐸, βˆ†πΈ denotes the energy spread of the ion beam and 𝐸 the ion energy. The convergence half angle is given by ∝=

𝐼 πœ‹π‘€! 𝑑𝐼 𝑑Ω ,

(4.8)

with 𝐼 the ion current, 𝑀 the ion mass, and 𝑑𝐼 𝑑Ω the angular ion intensity of the source. When Ga+ primary ion beam hits the sample surface it will sputter a small amount of material, which leaves the surface as either positive or negative secondary ions or neutral atoms. The primary beam also produces secondary electrons. As the primary beam rasters on the sample surface, the signal from the sputtered ions or secondary electrons is collected to form an image. The latest FIB systems have high resolution imaging capability; this capability coupled with in situ sectioning has eliminated the need, in many cases, to examine FIB sectioned specimens in a separate SEM instrument (Dual beam systems). The electron beam is used for imaging without concern of sputtering and damage the sensitive sample surface, which is the case FIB imaging. As a result, ion beam milling and characterization can be in situ obtained.



48

Fig. 4.12. Schematic drawing of Dual Columns System

Fig. 4.13. FIB column architecture



49

FIB (Dual beam systems) instrument is available at Leipzig University – Institute of Experimental Physics II (Fig. 4.14). By using this FIB milling system, we were able to make many nanopores on the 𝑆𝑖! 𝑁! (50 π‘›π‘š thickness) with various sizes. The critical parameters that dictate the size of the holes are the beam current, the diameter of the pattern selection, and the milling time. Fig. 4.15 shows array of five nanopores were made with specific parameter settings of the focused ion beam machine. We will discuss this, in details, in the next chapter.

Fig. 4.14. FIB (Dual beam systems) at Leipzig University



50

Fig. 4.15. Nanopores in 𝑆𝑖! 𝑁! membrane (50 π‘›π‘š thickness)

4.4 Scanning Electron Microscope SEM Scanning electron microscope is the one of the most important examination methods. It produces images of sample by scanning it with a focused beam of electrons. The energetic electrons interact with atoms in the sample, producing various signals that can be detected and contain information about the sample’s surface composition. The main components of the SEM (Fig. 4.16) are: column for electron beam generation, specimen chamber, vacuum pump, monitor, and control panels. Fig. 4.17 shows the schematic structure of SEM. The lower part consists of the specimen chamber with cover plate. In the longitudinal section, the flange leading to the high vacuum pump, thereby high vacuum is generated inside the whole microscope. The round specimen stage could move in (π‘₯, 𝑦, 𝑧) directions and it also tilted and rotated. All samples to be investigated are placed on the specimen stage. To create an electron beam, a V-shaped thin tungsten wire is used, the so-called thermionic cathode as shown in the Fig. 4.17. Heated up by electric current, it emits electrons into the vacuum. Below the cathode and metallic disc with central borehole is installed the anode. The anode is connected with the positive pole at the high voltage source, while the thermionic cathode with negative pole. The strong electric field between cathode and anode accelerates the electrons downwards. These electrons are called primary electrons. They form a broad diverging beam that hits the specimens and the stage. In this way, the beam of primary electrons is not useful yet. Electromagnetic lenses focused it finally on the specimen surface. At the point of the incidence, primary electrons will eject electrons of the sample material. These electrons



51

Fig. 4.16. The main components of the SEM at Leipzig University are called secondary electrons. Additional components are necessary; electromagnetic deflection, secondary electron detector abbreviated as SE detector, and computer monitor. The basic principle of the SEM is to detect the secondary electrons and use them to produce vey high-resolution images of a sample surface. To increase the number of detected electrons, a positively biased greed is placed in front to the SE detector. The types of signals produced by a SEM include secondary electrons (SE), back-scattered electrons (BSE), characteristic X-rays, light cathodoluminesenc (CL). Back-scattered electrons BES are beam electrons that are reflected from the sample by elastic scattering. BES are often used in analytical SEM along with the spectra made from the characteristic X-rays, because the intensity of the BSE signal is strongly related to the atomic number Z of the specimen. Characteristic X-rays are emitted when the electron beam removes an inner shell electron from the sample, causing a higher-energy electron to fill the shell and release energy. This Characteristic



52

Fig. 4.17. Schematic structure of SEM X-rays are used to identify the composition and measure the abundance of elements in the sample. The electron probe scan very small piece in the sample and stops for the short time, the number of secondary electrons is recorded in the SE detector as a dart at the monitor. Then, the probe moves slightly to the next small piece, scans it and recorded on the monitor and so on. Many recorded secondary electrons lead to a bright image point, and a few electrons lead to a gray image point, and not at all to a black one. An entire row is covered in this way, then a second row, until finally a rectangular area has been scanned and an image appears on the monitor. Electronic amplifiers of various types are used to amplify the signals, which are displayed as variations in brightness on a computer monitor. Each pixel of computer video memory is synchronized with the position of the beam on the specimen in the microscope, and the resulting image is therefore a distribution map of the intensity of the signal being emitted from the scanned area of the specimen. There is a wide range of magnification that can be used, from about 10 to 500,000 times. There are four main important parameters that can be used to control different imaging modes in SEM (see Fig. 4.18): 1. Beam accelerating voltage 𝑉! (0.1 – 30 𝐾𝑉). 2. Electron probe current 𝑖! that impinging upon the specimen.

53

3. Probe diameter or spot size (𝑑! ) of final electron beam focused at the specimen. 4. Probe converging angle (𝛼! ) half con-angle of the cone of electrons converging onto the specimen. It is useful to define the coefficients πœ‚, 𝛿 for imaging resolution purpose in SEM. The backscatter coefficient πœ‚ is the ratio of the backscattered electron current passing out of the specimen 𝑖!"# to the beam current injected into the specimen 𝑖! πœ‚=

𝑖!"# . 𝑖!

(4.9)

As shown in Fig. 4.19, πœ‚ increases with atomic number 𝑍. We could estimate a relation between them as [38] πœ‚ = βˆ’0.0254 + 0.016𝑍 βˆ’ 1.86Γ—10!! 𝑍 ! + 8.3Γ—10!! 𝑍 ! .

(4.10)

Thus, areas of the specimen composed of higher atomic number elements emit more backscatter signal and thus appear brighter in the image. For beam energy in 5-50 KeV, there is only a small change (< 10%) in πœ‚. At beam energies below 5 KeV, πœ‚ increases for low Z elements and decreases for high Z elements. πœ‚ as a function of Z and E given by [38] πœ‚ 𝑍, 𝐸 = 𝐸 !.!"#$!(!.!"## !) Γ— 0.1904 βˆ’ 0.2235𝑙𝑛𝑍 + 0.1292(𝑙𝑛𝑍)! βˆ’ 0.01491(𝑙𝑛𝑍)! .

(4.11)

The second coefficient 𝛿 is the ratio of the secondary electron beam current 𝑖!" to the beam current 𝑖! . Compared to πœ‚, 𝛿 is relatively insensitive to atomic number. For most elements 𝛿 is approximately 0.1. 𝛿 increases as the beam energy is lowered due to the reduction in interaction volume. Increasing energy from 5 to 50 KeV will make 𝛿 gradually decreases. In general both πœ‚ and 𝛿 are increase as the angle of tilt increases.



54

Fig. 4.18. The important parameters that used to control the imaging mode in SEM

Fig. 4.19. πœ‚, 𝛿 as a function of Z (free scale)



55

Chapter 5 Experimental Measurements 5.1 Experimental details When the Silicon nitride membrane (0.5 Γ— 0.5 mm, 50 nm thickness) irradiated by high energetic Ga+, using FIB milling system, sputtering of the Si and N atoms can occur on both membrane sides. This sputtering can result in pore formation. Fig. 5.1 shows the sample holder of silicon nitride membrane. We can see the magnification 150 Γ—, at 10 KV in Fig. 5.2. In each edge of 𝑆𝑖! 𝑁! we made a pattern of nanopores that contain 5 holes, as shown in Fig. 5.3.1, 2, 3 and 4 respectively. In this study, the energy of FIB beam was 30 𝐾𝑉 with beam current of 30 pA, and milling time ~ 800 π‘šπ‘ . The average diameter of the nanopores in the pattern 1 is approximately ~ 310.5 nm, pattern 2 ~ 314.2 nm, pattern 3 ~ 334 nm, pattern 4 ~ 344.2 nm. By using electron beam, we irradiated the nanopores, one by one, in pattern 1 and 2 to induce a viscous flow of silicon nitride. Different energies and currents beam are used. Then by using ion implanter, the whole sample has been irradiated by negative !".𝐢 ion beam at energy 𝐸 = 10𝐾𝑉. Also the atomic flow of matter into the nanopores has been observed. Together with electron beam, we have used CASINO computer simulations to calculate the energy deposition rate as a function of depth to understand the contraction process.

Fig. 5.1. The sample holder and 𝑆𝑖! 𝑁! membrane (the small window inside the circle frame)

56

Pattern 1

Pattern 2

Pattern 4

Pattern 3 333

Fig. 5.2. SEM image of Silicon Nitride membrane

Fig. 5.3.1 Nanopores pattern 1



57

Fig. 5.3.2 Nanopores pattern 2

Fig. 5.3.3 Nanopores pattern 3



58

Fig. 5.3.4 Nanopores pattern 4

5.2 Data and measurements β€’ Electron irradiation Fig. 5.4 illustrates the snapshots for five nanopores with different initial sizes that irradiated by e-beam at different energies and fluences that summarized in table 5.1. Each irradiated hole named by different letter. Shrinkage of the nanopores with any initial diameter was observed under all irradiation conditions. There was not any critical diameter to shrink process. It seems that this process could close the nanopores completely with extended time. Fig. 5.5 and 5.6 show the nanopores contraction rate from initial sizes as a function of time for nanopoers (A, D, E) and (B, C) respectively. In this experiment, the rate of nanopore contraction is linear in time at constant accelerating voltage and electron beam intensity. The rate of closing is inversely proportional to the accelerating voltage. To understand that, we used CASINO simulation to calculate the energy deposition rate as a function of depth. As we see in the Fig. 5.7.1, the stopping power in the silicon nitride membrane increases as the electron energy decreases. Thus, the contraction rate increases with increasing electron-stopping power. Fig. 5.7.2 shows the average contraction rate of nanopores at different potentials and all other parameters are constant.



59

A

B

C

D

Fig. 5.4. SEM of Shrink of different nanopores with different initial sizes as a function of time. We named each irradiated hole by letter, See table 5.5 for irradiation details

60

E

Fig. 5.4. SEM of Shrink of different nanopores with different initial sizes as a function of time. We named each irradiated hole by letter, See table 5.5 for irradiation details

Nanopores letter A B C D E

Initial diameter (nm) 308.5 299.2 282.9 254.9 220

Electron energy (KV) 15 20 10 10 15

Current (nA)

Magnification

0.035 0.049 0.027 0.92 1.3

200000 200000 200000 200000 200000

Table. 5.1. Experimental parameters that used in 𝑒 ! beam

Fig. 5.5. Diameters versus time for nanopores A, D and E (see table 5.1)



61

Fig. 5.6. Diameters versus time for nanopores B and C (see table 5.1)

Fig. 5.7.1 Monte Carlo simulation of the energy deposition as a function of depth



62

Fig. 5.7.2 The average shrinking rate of nanopores at different potentials and fixed all other parameters.

β€’ Carbon-12 ion irradiation Unlike electron beam, we can’t use carbon-12 beam to irradiate the nanopores one by one. Therefore, for ion beam, the implantation will be on the whole sample at one time. It seems that all the holes become smaller and smaller under irradiation process with extended time. After each specific implantation time, we moved the sample to the scanning electron microscope to see how the nanopores reduced. We study the evolution of four nanopores from pattern 3 and 4. Fig. 5.8 shows the deformation process of four different nanopores under !".𝐢 ion beam irradiation with total ion fluence of 1450 π‘›π‘š!! . The reduction rate can be estimated by plotting the measured radius versus total ion fluence, as shown in the Fig. 5.9. The average initial diameter of nanopores in pattern 3 was equal ~ 334 nm, and after total ion fluence it becomes equal ~ 260 nm. For pattern 4, the average initial diameter was equal ~ 344.2 nm, and the after final implantation it becomes equal ~ 285.2 nm. We also checked how the patterns 1 (which already deformed by e-microscope) reduced; all the nanopores in pattern 1 are completely closed after electron and carbon-12 irradiation (see Fig. 5.10).



63

5.3 Discussion All our nanopores with different diameters range from 220 to 348 nm are shrank under ebeam irradiation. No expansion was observed. It’s clear from Fig. 5.5 and 5.6 that the shrinking rate increasing with decreasing the voltage and increasing the current. (See table 5.1). The holes A, E and D took 1 hours to shrank from 300, 220, 254 to 45, 63, 68 nm respectively. For the pore C, the deposited energy was 10 𝐾𝑉, but with low current 𝐼 = 0.027 𝑛𝐴. This explains why the pore C took 2 hours to shrink from 283 to 120 nm. The pore B (𝐸 = 20 𝐾𝑉, 𝐼 = 0.049 𝑛𝐴) has low shrinking in the beginning, but at time 𝑑 = 40 π‘šπ‘–π‘›, the shrinking rate started to increase faster, such that at 𝑑 = 80 π‘šπ‘–π‘›, the diameter was equal with the pore C. Then, from 𝑑 = 80 π‘šπ‘–π‘›, the shrinking rate separated again such that the pore C shrank faster, but the difference between them is small. The physics behind the contraction of nanopoers can be explained by surface tension driven mechanism. One model proposed by Storm et al 2003 [37]. In this model, the geometry of nanopoers is a cylindrical pore with radius π‘Ÿ in the membrane thickness β„Ž. Then, we calculate the free energy of the perforated film and compare it to the intact film. The difference in free energy βˆ†πΉ is proportional to the change in surface area βˆ†π΄: βˆ†πΉ = π›Ύβˆ†π΄ = 2πœ‹π›Ύ π‘Ÿβ„Ž βˆ’ π‘Ÿ ! ,

(5.1)

where 𝛾 is the surface tension. According to this model, nanopores with π‘Ÿ < β„Ž 2 can minimize their free energy by migrate the atoms to the low density region of the nanopores, resulting in a shrinking in the nanopore’s diameter. The larger pores can minimize their free energy by expanding. In this model, the critical radius to shrinking is π‘Ÿ!"#$#!%& = β„Ž 2. But it seems that this model doesn’t apply on all our results. Because, as we have see before, there is no critical diameter related to the thickness of the membrane during the contraction process. All the nanopores shrank, and the shrinking rate is dependent on the initial radius, energy deposition rate and influence in the membrane. Regarding !".𝐢 ion beam, such as e-beam, all nanopores shrank under irradiation. No expansion was observed under all parameters that used in this experiment. Fig. 5.9 shows that initially (up to total ion fluence 25 π‘›π‘š!! ), the shrinking rate for gray line is very high, while for yellow and blue lines are less closing rate, while the closing rate is slow for orange line. Then, for all nanopores sizes, the shrinking rates become slower and follow the same pattern with extended time. From total ion fluence 400 π‘›π‘š!! to 1450 π‘›π‘š!! (5 hours), we can observe that the shrinking rate becomes very low. It seems that the shrinking rate will be very slow whatever the total ion fluence or time used.



64

----

----

----

----

Fig. 5.8. SEM of shrinking of four different nanopores with different initial sizes under !".𝐢 ion beam irradiation. There is color label above each irradiated hole to compare with shrinking rate (see Fig. 5.9)

65

Fig. 5.9. Plot of the hole’s average diameter versus the total ion fluence, for the four different nanopores with different initial sizes.

Fig. 5.10. Pattern number 1 is completely closed after 𝑒 ! and !".𝐢 irradiation



66

5.3.1 Model for nanopore Shrinking (e-beam) We proposed that the joule heating assisted by e-beam irradiation is the reason for shrinkage. Joule heating is caused by interactions between the incident e-beam and the atoms in silicon nitride membrane. When the accelerated electrons collide with the atoms, the electrons are scattered and their motion becomes random and therefore thermal, increasing the temperature of the system. This heating process can diffuse the atoms rapidly towards the edge of the nanopore. The heating is direct proportional with absorbed energy. To understand our observations, we tested a model involving surface tension driven mass flow mechanism. Under the effect of electron beam, viscous layer can form, and therefore this makes intrinsic stress 𝜎. Assuming the silicon nitride membrane structure as a collection of infinitely thin 2D amorphous discs, and assumes the discs are uniformly shrinking; the intrinsic stress will be [45][46][47] 𝜎 = (πœ‚ βˆ’ 𝛾)/β„Ž

(5.2)

Where πœ‚, 𝛾 are the surface stress and surface free energy of the material and β„Ž is the thickness of the film. As the electron beam is focused on a particular area, such a layer is formed within and around the scanned area, which include the nanopore. Intrinsic stress causes the atoms to flow into the nanopores and gradually close them as shown in Fig. 5.11. The development of the shrinking layer predicts a linear decrease in the pore’s radius with respect to time. As we discussed in section 2.6, the electron beam could interacts with target inelastically and elastically. In our results, there is negligible transfer of energy in the case of elastic collisions, while inelastic collisions, which occur when the incident electron is transferred to the orbital electrons of the atoms, lead to energy transfer from electrons to the substrate. This decreases the kinetic energy of the electrons and hence their penetration depth, and increases the absorbed energy. This absorbed energy given as [48] 𝐸 = 𝐸∘ βˆ’ 𝜎! 𝐸! βˆ’ πœ‚! 𝐸!

(5.3)

Where 𝐸∘ , 𝐸! and 𝐸! are the energies of the primary, transmitted and backscattered electrons respectively, 𝜎! , πœ‚! are the fraction of electrons transmitted and backscattered. Using Casino simulation, 𝜎! , πœ‚! could determine in a 50 nm thick silicon nitride (Fig. 5.12). We can observe that πœ‚! decrease slowly with increasing accelerating voltage (see equation 4.11). Therefore, at low energies, the depth of electron penetration into the membrane will be in the same range of the membrane thickness, and this makes 𝜎! β‰ˆ 0. In this case most of the energies is absorbed and dissipated within the membrane. On the other hand, 𝜎! increases with increasing the accelerating voltage. Therefore, the membrane will absorb less energy. Based on this model, the absorbed energy by the membrane is given by [48]

67

Fig. 5.11. Under E-beam effect, viscous layer is formed and then intrinsic stress 𝜎, which makes the atoms to flow into the nanopores and gradually close. This model shows a linear decrease in the pore’s radius with respect to time.

Fig. 5.12. The fraction of electrons transmitted (blue) and backscattered (yellow) by 50 nm thick silicon nitride membrane.



68

!

𝐸!"!#$ =

!

𝐸! 𝑉, 𝑧 𝑑𝑧 𝑗(𝑀, 𝜏)

(5.4)

where 𝑇 is the membrane thickness 𝑀 is the magnification 𝜏 is the scan time per frame 𝑗 is the electron flux 𝑉 is the accelerating voltage 𝐸! is the amount of energy deposited per unite depth 𝑧 per electron

5.3.2 Model for nanopore Shrinking (ion-beam) There are two possible mechanisms responsible for the shrinking effect under the ion beam. The first one is the viscous flow mechanism, which we talked about it in the previous section. Another mechanism is called β€œadatom diffusion”. According to Li et al [40], the shrinking process can be explained due to diffusion of adatoms (like atoms, molecular clusters) along the surface until annihilated. One of the annihilation channels is an adatom sink at the edge of the pore and thereby closes them. The concentration of surface adatoms, 𝐢(π‘Ÿ, 𝑑), could described by the following twodimensional diffusion equation [40]: πœ• 𝐢 𝐢 π‘Ÿ, 𝑑 = πΉπ‘Œ! βˆ’ 𝐹𝐢𝜎 βˆ’ + π·βˆ‡! 𝐢 , πœ•π‘‘ 𝜏!"#$

(5.5)

where π‘Ÿ and 𝑑 are surface position and time, 𝐹 the incident ion beam flux. 𝐷, 𝐢, 𝜏!"#$ , π‘Œ! , and 𝜎 are materials-specific parameters [40]. This model depends on phenomenological and ideal assumptions with ignorance of many microscopic properties of matter under ion irradiation. The principle of the viscous flow mechanism has been explained in the previous section. But we must consider that the ion interactions with matter differ from those of electron in some aspects. For example, we can’t neglect the nuclear stopping cross section in this interaction. George. et al. propose one viscous flow model [49]. This model describes the evolution of biaxial stress and 3D deformation according to the following equation for the strain !! rate !"!" [49]:



69

π‘‘πœ€!" 1 1 𝑑𝑠!" 1 π‘‘πœŽ!! = + + 𝛿!" + 𝑓𝐴𝐷!" , 𝑑𝑑 2𝜁 2𝐺 𝑑𝑑 9𝐡 𝑑𝑑

(5.6)

where 𝜁 is the shear viscosity 𝝈 is the stress tensor 𝑠!" = 𝜎!" βˆ’ 𝛿!" 𝜎!! /3 𝐺 is the shear modulus 𝐡 is the bulk modulus 𝑓 is the ion flux 𝐴 is parameter dependent on sample temperature and ion energy 𝐷 is dimensionless anisotropic tensor with cylindrical symmetry about the ion tracks. The shear viscosity 𝜁 is given as: 𝜁=

𝜁!"# , 𝑓

(5.7)

where the parameter 𝜁!"# depends on temperature and nuclear stopping power. A simple analytical version of the model provides insight from dimensional analysis and predicts the pore’s radius at any time 𝑅(𝑑) is given by [49]: 𝑅 𝑑 = 1 βˆ’ 3π‘“π΄π‘‘β„Ž,

(5.8)

where β„Ž is the thickness. Actually, The adatom diffusion model explains the effect of ion flux more than the viscous flow model. More ion flux means increase the probability of annihilated by ion collision rather than sinking at the pore edge, thereby reducing the amount of nanopore closing rate per unite ion flux.

5.4 Conclusions and Outlook Although the rate of nanopore contraction is, approximately, linear in time at constant accelerating voltage and electron beam current or intensity, the mechanics of the contraction rate is not completely systematic study. Even for nanopores that have the same initial diameter in the same membrane, they have different closing rates. Also, some nanopores closed at constant rate, while others closed at increasing or decreasing rate. For example, we can see at 𝑑 = 45 π‘šπ‘–π‘›, in Fig. 5.5, the holes D and E started to deviate from their linear pattern. Also for the hole B, the shrinking rate from 𝑑 = 0 βˆ’ 40 π‘šπ‘–π‘› is different than from 𝑑 = 40 βˆ’ 80 π‘šπ‘–π‘›, and also different from 𝑑 = 80 βˆ’ 120 π‘šπ‘–π‘›. A and C are almost linear in time. So, we can conclude, from this behavior, that the initial diameter is important for the shrinking mechanism. No expansion was observed, and

70

there is no critical diameter related to the thickness of the membrane that determines if the holes will shrink or expand. All the nanopores shrank, and the shrinking rate depends on the initial diameter, energy deposition rate and fluence or current in the membrane. Regarding Carbon-12 beam; also we observed only shrinking of nanopores. This contraction behavior depends on many different material parameters and ion beam irradiation conditions. We proposed that the joule heating assisted by beam irradiation is the reason for shrinkage. This joule heating process can diffuse the atoms rapidly towards the edge of the nanopores. By assuming the existence of a thin viscous solid layer, it is possible to accurately describe the growth of the shrinkage layer and the shrinking rate in both electron and ion beam. Another possible model is adatom diffusion. According to this model, the shrinking process can be explained due to diffusion of adatoms along the surface until annihilated and thereby acting to close the nanopores. Technically, e-beam irradiation has more advantage than Carbon-12 ion beam. In ebeam, the nanopores deformations are constantly imaged. After each irradiation we can see how the nanopores are deformed and the process can stop once the wanted area achieved. In ion beam, we irradiated the sample blindly. We can’t constantly image like the situation in e-beam. Therefore, we don’t have nice control on deformation of holes, and we have to remove the sample after each specific time irradiation to see it by scanning electron microscope. This process is not good, because moving the sample too much could leaves damages on the silicon nitride, which is very sensitive membrane. Also, the changing of pressure between the chambers of scanning electron microscope and ion implanter could break the silicon nitride window. We observed some break in small area in the silicon nitride membrane. More experiments under many different conditions with different silicon nitride thickness and different shapes on nanopores are needed to understand, precisely, the nanopores formation dynamics, and advances our ability to control nanopores for various electrical, chemical, and biological future applications.



71

Bibliography [1] Michael Nastasi, James W. Mayer, and James K. Hirvonen. Ion-Solid Interactions: Fundamentals and Applications. Cambridge Solid State Science Series. University Press, Cambridge, 1996. [2] J.F. Ziegler, J.P. Biersack, and U. Littmark. The Stopping and Range of Ions in Solids, volume 1 of The Stopping and Ranges of Ions in Matter. Pergamon Press, New York, 1985. [3] Bernd Schmidt, Klaus Wetzig. Ion Beams in Materials Processing and Analysis. Springer, 2013. [4] P.D. Townsend, J.C. Kelly, and N.E.W. Hartley. Ion Implantation, Sputtering and Their Applications. Academic Press, New York, 1976. [5] R. Behrisch, editor. Sputtering by Particle Bombardment I: Physical Sputtering of Single-Element Solids, volume 47 of Topics in Applied Physics. Springer-Verlag, New York, 1981. [6] Olivier B. Duchemin. An Investigation of Ion Engine Erosion by Low Energy Sputtering. PhD thesis, California Institute of Technology, Pasadena, CA, 2001. [7] Michael Nastasi, James W. Mayer, Ion Implantation and Synthesis of Materials. Springer, 2006. [8] Lindhard, J., Scharff, M., Schiott, H.E. Range concepts and heavy-ion ranges (notes on atomic collisions II). Mat. Fys. Medd. Dan. Vidensk. Selsk. 33(14), 3, 1963. [9] J.F. Ziegler and J.P. Biersack. SRIM 2003 (Program and Documentation). http://www.srim.org. [10] J. P. Biersack and L. G. Haggmark. A Monte Carlo computer program for the transport of energetic ions in amorphous targets. Nucl. Instr. Meth., 174:257, 1980 [11] Ryssel H, Ruge I. Ionenimplantation. Akademische Verlagsgesellschaft, Leipzig, 1978. [12] Peter Sigmund. Theory of sputtering. I. Sputtering yield of amorphous and polycrystalline targets. Physical Review, 184(2):383–415, 1969. [13] P.K. Ray and V. Shutthanandan. Low-energy sputtering research. NASA/CR-1999209161, 1999. [14] Yamamura Y, Muraoka K. Over-cosine angular distributions of sputtered atoms.

72

Nucl Instrum Methods Phys Res B 42:175–181, 1989 [15] J. Bohdansky. A universal relation for sputtering yield of monoatomic solids at normal ion incidence. Nuclear Instruments and Methods in Physics Research, B2:587, 1984. [16] Y. Yamamura and H. Tawara. Energy dependence of ion-induced sputtering yields from monatomic solids at normal incidence. Atomic Data and Nuclear Tables, 62(2):149–253, 1996. [17] H.E. Wilhelm. Quantum-statistical analysis of low-energy sputtering. Austrailian Journal of Physics, 38(2):125–133, 1985. [18] Sigmund P. Ion beam science – solved and unsolved problems. Mat Fys Medd Dan Vid Selsk 52:1–755, 2006 [19] Sigmund P. Sputtering processes: collision cascades and spikes. In: Tolk NH (ed) Inelastic ion-surface collisions. Academic, New York, 1977 [20] Yamamura Y. Energy dependence of the yields on ion- induced sputtering of monatomic solids. At Data Nucl Data Tables 62:149f, 1996. [21] Yasumichi Yamamura, Yukikazu Itikawa, and Noriaki Itoh. Angular dependence of sputtering yields of monatomic solids. Nagoya University Institute of Plasma Physics Report, IPPJ-AM-26, 1983. [22] Child CD (1911) Discharge from Hot CaO. Phys Rev 32:492 [23] Langmuir I, Compton KT. Electrical discharges in gases. II. Fundamental phenomena in electrical discharges. Rev Mod Phys 3:191, 1931. [24] Dearnaley G, Freemann JH, Nelson RS, Stephan J. Ion implantation. North Holland, Amsterdam, 1973. [25] Wilson R, Brewer GR. Ion beams. Wiley, New York, 1973. [26] LA, Stevie FA. Introduction to focused Ion beams: instrumentation, theory, techniques and practice. Springer, New York, 2005. [27] Prewett PD, Mair GLR. Focused ion beams from liquid metal ion sources. Wiley, Chichester, 1991. [28] S. G. Zhang, D. M. Marini, W. Hwang, and Santoso, Curr. Opin. Design of nanostructured biological materials through self-assembly of peptides and proteins. Chem. Biol. 6, 865, 2002. [29] E. A. Heins, Z. S. Siwy, L. A. Baker, and C. R. Matin, Detecting single porphyrin molecules in a conically shaped synthetic nanopore. Nano Lett. 5, 1824, 2005.



73

[30] V. I. Merkulov, M. A. Guillorn, D. H. Lowndes, M. L. Simpson, and E.Voelkl, Appl. Controlled alignment of carbon nanofibers in a large-scale synthesis process. Phys. Lett. 79, 1178, 2001. [31] McNally B, Wanunu M and Meller A. Electromechanical unzipping of individual DNA molecules using synthetic sub-2 nm pores. Nano Lett. 8, 3418, 2008. [32] Smeets R M M, Kowalczyk S W, Hall A R, Dekker N H and Dekker C. Translocation of RecA-coated double-stranded DNA through solid-state nanopores. Nano Lett. 9, 3089, 2009 [33] Li J, Gershow M, Stein D, Brandin E and Golovchenko J A. DNA molecules and configurations in a solid-state nanopore microscope. Nat. Mater. 2, 611 ,2003 [34] Wanunu M, Sutin J and Meller. nanopores: detection of DNA-binding molecules. Nano Lett. 9, 3498, 2009. [35] Mara A, Siwy Z, Trautmann C, Wan J and Kamme F. An Asymmetric Polymer Nanopore for Single Molecule Detection. Nano Lett. 4, 497, 2004. [36] Krapf D, Wu M Y, Smeets R M M, Zandbergen H W, Dekker E and Lemay S G. Salt dependence of ion transport and DNA translocation through solid-state nanopores. 2006, Nano Lett. 6, 105, 2006. [37] Storm A J, Chen J H, Ling X S, Zandbergen H W and Dekker C. Fabrication of solid-state nanopores with single-nanometre precision. Nat. Mater. 2, 537, 2003. [38] Joseph Goldstein et al. Scanning Electron Microscopy and X-Ray Microanalysis. Springer, 2003. [39] David C. Joy. Low Voltage Scanning Electron Microscopy. Hitachi Instrument News, 1989. [40] J. Li, D. Stein, C. McMullan, D. Branton, M. J. Aziz, J. A. Golovchenko. Ion-beam sculpting at nanometre length scales. Nature, 412, 166, 2001. [41] S. Pezzagna, D. Wildanger, P. Mazarov, A. D. Wieck, Y. Sarov, I. Rangelow, B. Naydenov, F. Jelezko, S. W. Hell, J. Meijer. Nanoscale Engineering and Optical Addressing of Single Spins in Diamond. Nanoscale Engineering, No. XX, 1-5, 2010. [42] J. Erlebacher, M. J. Aziz, E. Chason, M. B. Sinclair, J. A. Floro. Spontaneous pattern formation on ion bombarded Si (001). Phys. Rev. Lett. 82, 2330, 1999. [44] T. M. Mayer, E. Chason, A.J. Howard, J. Roughening instability and ion‐induced viscous relaxation of SiO2 surfaces. Appl. Phys. 76, 1633, 1994.

74

[45] Lehmann. G. et al. Structure and elastic peoperties of amorphous silicon nitride films. Phys. Rev. B. 64, 165305, 2001. [46] Ohring. M. The material science of thin films. New York: Academic, 1999. [47] Mayr. S, Samwer. K. Model for intrinsic stress formation in amorphous thin films. Phys. Rev. Lett. 87, 36105, 2001. [48] Kanaya. K, Okayama. S. Penetration and energy-loss theory of electrons in soild targets. J. Phys. D: Appl. Phys. 5, 43-58, 1972. [49] George. H. B. et al. Nanopore fabrication in amorphous Si: viscous flow model and comparison to experiment. J. Appl. Phys. 108, 014310, 2010. [50] Zhang. W. et al. Controllable shrinking and shaping of silicon nitride nanopores under electron irradiation. Appl. Phys. Lett. 90, 163102, 2007. [51] Spinney. P. et al. Nanopore formation by low-energy focused electron beam machining. Nanotechnology 21, 375301, 2010. [52] Kox. R. et al. Shrinking solid-state nanopores using electron-beam-induced deposition. Nanotechnology 20, 115302, 2009. [53] Schenkel. T. et al. Formation of a few nanometer wide holes in membranes with a dual beam focused ion beam system. J. Vac. Sci. Technol. B 21, 2720, 2003. [54] Chang. H. et al. Fabrication and characterization of solid-state nanopores using a field emission scanning electron microscope. Appl. Phys. Lett. 88, 103109, 2003. [55] Drouin. D. et al. CASINO V2. 42 – a fast and easy to use modeling tool for scanning electron microscopy and microanalysis users scanning 29, 92-101, 2007.



75

Acknowledgements First full, I would like to thank Prof. Jan Meijer who welcomed me to work in nuclear solid-state group, and gave me the theme of this work. He provided me with an interesting thesis project that greatly enriched my skills in experimental research. Big thanks for Dr. Daniel Spemann for his review of my thesis. Many thanks for our college Nicole Raatz; she taught me about FIB milling system machine, and helped me to make different nanopores in silicon nitride window. Especially thank for Steffen Jankuhn for his patient with me; he taught me how to use scanning electron microscope and ion implanter. I appreciated his time and willingness to support my research. He never stopped supporting me. I would like to thank him for giving me his professional advice and personal attention. Thanks to everyone in our group who helped and encouraged me to make this project possible. I enjoined working with all of them. I want to express my big thanks to my dear friend Mr. Toma for his care and help me during my residence in Leipzig. My special thanks to the great woman Ms. Ali for her supporting me with big love and care.



76

Affidavit I hereby certify that this master thesis has been composed by myself, and describes my own work, unless otherwise acknowledged in the text. All references and verbatim extracts have been quoted, and all sources of information have been specifically acknowledged. It has not been accepted in any previous application for a degree.

Youssef Albanay

After positive appraisal of this thesis, I agree that one copy of my presented thesis may remain at the disposal of the library of Leipzig University.

Youssef Albanay



77