Using APL format - Max Planck Institute of Microstructure Physics

1 downloads 0 Views 91KB Size Report
low-temperature (LT) process, employing SiO2 and spin-on- glass (SOG) layers for the planarization and bonding of ep- itaxial GaAs wafers onto fully processed ...
APPLIED PHYSICS LETTERS

VOLUME 81, NUMBER 27

30 DECEMBER 2002

Wafer-scale integration of GaAs optoelectronic devices with standard Si integrated circuits using a low-temperature bonding procedure A. Georgakilas, G. Deligeorgis, E. Aperathitis, D. Cengher, and Z. Hatzopoulos Microelectronics Research Group, IESL-FORTH and Physics Department, University of Crete, P.O. Box 1527, 71110, Heraklion, Crete, Greece

M. Alexe, V. Dragoi, and U. Go¨sele Max Planck Institute of Microstructure Physics, Weinberg 2, D-06120 Halle, Germany

E. D. Kyriakis-Bitzaros, K. Minoglou, and G. Halkiasa) Institute of Microelectronics, NCSR ‘‘Demokritos,’’ P.O. Box 60228, 15310 Agia Paraskevi, Greece

共Received 11 July 2002; accepted 28 October 2002兲 A methodology for the heterogeneous integration of epitaxial GaAs wafers with fully processed standard bipolar complementary metal-oxide-semiconductor Si wafers is presented. The complete low-temperature wafer bonding process flow, including procedures for the Si wafer planarization and GaAs substrate removal, has been developed and evaluated. The implementation of an in-plane optical link, consisting of an edge-emitting laser diode, a waveguide and a photodiode, is demonstrated. © 2002 American Institute of Physics. 关DOI: 10.1063/1.1531221兴

etch-stop layer. Then, the fully processed Si wafer is covered by successive SiO2 layers, deposited at low temperature 共LT兲 using plasma enhanced chemical vapor deposition 共PECVD兲, and the surface is planarized by chemical mechanical polishing 共CMP兲. Next, the planarized and polished SiO2 top layer is covered by SOG, to eliminate any remaining surface imperfection and to act as a bonding agent. Subsequently, a proper baking procedure is followed to remove the volatile elements from the SOG before the wafer bonding. The Si wafer is then bonded at room temperature face to face with the epitaxial GaAs wafer. The two wafers are centered and their major flats are mechanically aligned. The bonding is further strengthened by an annealing step performed at 200 °C 共the temperature must be kept below 250 °C to avoid debonding due to the difference in the thermal coefficients of expansion 共TCE兲 of GaAs and Si兲. The backside of the entire GaAs substrate is then removed by an appropriate thinning process so that only the epitaxial III–V structure remains bonded onto the top surface of the BiCMOS wafer. After this stage of the process flow the temperature can rise up to 400 °C because the constraint of the different thermal coefficients of expansion becomes more tolerant due to the preceding thinning of the GaAs wafer, which can now sustain larger deformations. The remaining III–V film is thereafter processed to form OE devices using conventional III–V processing technology. The mask alignment of the OE devices to be fabricated on the bonded GaAs film can be performed either by infrared backside alignment or by alignment marks placed on the uncovered annulus of the 4 in. silicon wafer. The final steps of the process are: 共a兲 the opening of via holes through the III–V film and the insulating intermediate layers and 共b兲 the fabrication of electrical interconnections between the Si ICs and the OE devices using either wire bonding or on-wafer metalizations. In order to evaluate the critical steps of the process flow, we have undertaken a series of experiments focusing on different aspects of the process. Both unprocessed and fully

High-density optical interconnections require the integration of III–V optoelectronic 共OE兲 devices along with Si integrated circuits 共ICs兲. Currently, hybrid integration, based on flip-chip bonding, is the most mature technology for the combination of III–V optoelectronics with Si ICs.1,2 The main drawback of the hybrid approach is the demanding fabrication sequence which results in increased manufacturing cost. A different approach for the integration of Si ICs with III–V OE devices is the fabrication of the OE devices in layers grown by heteroepitaxy on the Si wafer. However, the heteroepitaxial approach suffers from poor III–V material quality. Furthermore, process incompatibilities and crosscontamination problems, between the complementary metaloxide-semiconductor 共CMOS兲 and III–V technologies, cannot be easily eliminated. In order to overcome the limitations of the hybrid and heteroepitaxial integration, we have developed a process having the wafer-scale characteristics of heteroepitaxial integration and at the same time being compatible with commercial bipolar CMOS 共BiCMOS兲 technology. Our approach is a low-temperature 共LT兲 process, employing SiO2 and spin-onglass 共SOG兲 layers for the planarization and bonding of epitaxial GaAs wafers onto fully processed BiCMOS wafers. A very interesting alternative to our approach utilizes polyimide layers as planarization and bonding agents.3 This polyimide based bonding process has been used by Nakahara et al.4 to demonstrate the integration of a photodiode 共PD兲 onto a CMOS circuit. In this letter, we present our approach based on a SiO2 /SOG wafer bonding procedure. The basic process flow for the wafer-scale integration scheme starts with the fabrication of the ICs on a 4 in. Si wafer using a commercially available BiCMOS technology and the OE device layers are grown on a 3 in. GaAs wafer. The OE device layers are grown with the inverse sequence of the regular device structure, after the epitaxy of a thin AlAs a兲

Electronic mail: [email protected]

0003-6951/2002/81(27)/1/3/$19.00

1

© 2002 American Institute of Physics

2

Appl. Phys. Lett., Vol. 81, No. 27, 30 December 2002

FIG. 1. Wafer bowing measurement of the bonded GaAs and Si wafers developed during the thermal annealing.

processed BiCMOS 4 in. Si wafers were bonded with 3 in. epitaxial GaAs wafers. The processed Si wafers were fabricated with the commercially available 0.8 ␮m BiCMOS technology of Austria Mikro Systems AG 共AMS™兲. The epitaxial GaAs wafers consisted of various GaAs/AlGaAs heterostructures,5 grown by molecular beam epitaxy 共MBE兲. The investigation of the LT SiO2 /SOG based wafer bonding process has been initiated with the examination of the surface morphology of the commercial fully processed BiCMOS wafers. Atomic force microscopy and profilometry showed that the two-level metal interconnection stripes presented unevenness of 0.6 –1.3 ␮m. Furthermore, irregular edges of 2 ␮m height existed in the IC testing pads opened within the capping nitride layer. This surface unevenness was reduced to about 90 nm by the planarization procedure. For that purpose, multiple low-temperature oxide layers, with 2 ␮m total thickness, were deposited by PECVD and then polished using fumed silica as polishing media. Further planarization was accomplished by deposition of a SOG layer so as to achieve the bondability condition 共microroughness under 1 nm兲. The average interface energy of the bonded surfaces was measured using the crack opening method by inserting a blade between the bonded wafers. The interface energy, before annealing, was 0.46 J/m2 and reached the value of 1.4 J/m2 after annealing at 200 °C. Scanning acoustic microscopy showed that bonding problems existed in some areas due to imperfect planarity of the wafers. Initially, the bonding was good over more than 70% of the entire wafer contact area. The adequately bonded wafer contact area was increased to about 90% when the final annealing at 200 °C was performed under a small pressure. The bow of the wafers was measured during the annealing step 共Fig. 1兲. This bow is always associated with stress in both wafers. The wafer bowing was free of hysteresis effects, perfectly symmetric, equal for the two wafers and reaches zero value after cooling down to room temperature. The backside thinning of the bonded GaAs substrates has been investigated using two different methods. In one approach, CMP was used to thin the 400-␮m-thick GaAs substrates to approximately 30 ␮m while in the second approach the GaAs substrates were thinned to an average thickness of 80 ␮m, using a fast wet etching process 共using

Georgakilas et al.

H3 PO4 :H2 O2 :H2 O). All the bonded wafers, after the CMP thinning, exhibited a non-negligible crack density 共with crack spacing in the mm/cm range兲, attributed to mechanical stressing during CMP processing. On the contrary, with the wet etching procedure the mechanical stressing of the bonded material was reduced to a negligible level. Furthermore, a reactive ion etching 共RIE兲-based process was employed to selectively remove the remaining material 共etch rate ⬃1 ␮ m/min), with the assistance of the AlAs etch-stop layer (selectivity⬎1000) inserted into the III–V epitaxial structure. Finally, a 10% HF solution was used to remove the thin AlAs etch-stop layer and thus unveil the active layers of the OE structures for subsequent processing. The stress on the remaining 共after the backside thinning兲 III–V film was evaluated using photoreflectance measurements5 on a bonded 2-␮m-thick GaAs film. These measurements, performed at the temperature range of 80–296 K, indicated the absence of plastic deformation during temperature cycling. Hence, the 2 ␮m GaAs bonded film was practically unstrained at room temperature, as compared to a reference conventional heteroepitaxial 2 ␮m GaAs/Si film which presented a tensile stress of 109 dyn/cm2 . Laser diodes 共LDs兲 have been fabricated on films bonded onto fully processed BiCMOS wafers and compared to similar devices processed on GaAs substrates. The selected laser diode structure was an etched mirrors gradedindex separate-confinement heterostructure with four quantum wells 共GRINSCH-4QW兲 emitting at ␭⫽850 nm. 5 The LDs on bonded wafers exhibited characteristics similar to those processed on unbonded substrates. The most critical step in the processing of the devices was the rapid thermal annealing for the ohmic contact formation, since thermal stresses may deteriorate the GaAs/Si bonding. This problem was solved using a slow temperature ramping, with a maximum temperature of 400 °C, without compromising the quality of the ohmic contacts. The OE devices were isolated with trenches as deep as the GaAs film. The typical laser threshold current density (J th) was approximately 1.6 kA/cm2 . It should be pointed out that the J th of all the etched mirror lasers was higher than that of cleaved mirror devices, due to the inferior quality of the etched mirrors. In addition, the LDs on Si exhibited a capability for operation at higher power as compared to the LDs fabricated on GaAs substrates. This is attributed to the higher thermal conductivity of silicon. The absence of any void formation during the III–V processing steps is worth noting. This indicates that the SOG baking procedure, before bonding, successfully outgases the SOG. Any residual SOG outgassing is absorbed by the SOG or the SiO2 films. However, the LDs processed on wafers bonded on unprocessed Si exhibited higher yield than those which were fabricated on the GaAs film bonded on the fully processed BiCMOS wafers. This problem was attributed to increased imperfections in the GaAs device processing on the BiCMOS wafers, due to some disintegration of the thicker SOG layer, in areas where it was exposed to air 共after complete GaAs etching for isolation兲. Currently, we examine a number of alternatives to alleviate this problem. In order to demonstrate the feasibility of the entire process, a complete in-plane OE link6 was designed and fabri-

Georgakilas et al.

Appl. Phys. Lett., Vol. 81, No. 27, 30 December 2002

3

FIG. 2. Nomarski optical microscopy image showing the fabricated LDWG-PD GaAs optical link.

cated. The link consists of a BiCMOS laser diode driver 共LDD兲, an edge-emitting LD, a planar WG, a PD and a BiCMOS transimpendance amplifier 共TIA兲.7 The output of the laser driver was designed to be at a distance of 500 ␮m from the input of the TIA. In the space of 500 ␮m are placed the LD, the WG and the PD so as to form a complete OE link. The microphotograph of the integrated OE link is shown in Fig. 2. The LDD is based on a differential pair input stage, which requires input voltage pulses larger than ⫹2 V to switch correctly, and it is biased at ⫹5 V. A cascade topology for the current mirrors is used for independent dc and modulation current adjustments within a range of 0– 40 mA. According to experimental results the LDD can accommodate data rates up to 2.5 Gb/s while maintaining the full range of dc pre-bias component. For the receiver, a standard transimpedance design topology has been adopted.7 Measurements showed a transimpedance gain of 62 dB ⍀ over the ⫺3 dB bandwidth of dc-1.7 GHz. The mean input referred noise current density was found to be 9.8 pA/ 冑Hz, which results in an input optical sensitivity of ⫺23.3 dB m at a BER 10⫺9 . Figure 3 shows the emitted power of a 10 ⫻250 ␮ m2 LD and the response of the corresponding 50 ⫻100 ␮ m2 PD in an optical link, with all devices fabricated from the same GRINSCH-4QW structure. Photocurrents of the order of 100 ␮A have been achieved in the PD, with zero bias voltage, for LD operation just above the threshold condition. The optical power was measured from the laser’s mirror opposite to the PD and, therefore, corresponds to approximately half of the emitted power per facet. The responsivity of the PD was found to be 0.40 A/W. The entire OE link was also successfully tested, unpackaged, for its functionality under low duty-cycle pulsed signal operation 共0.4 ␮s pulse width and 10 KHz repetition rate兲. High frequency characterization has not yet been attempted because

FIG. 3. Characteristics of an integrated optical link.

the self-heating effects on the unpackaged OE link can be deleterious for the OE devices. Furthermore, the influence of the wafer bonding and the subsequent GaAs process on the Si ICs has been examined using on-wafer S-parameter measurements up to 8 GHz. Discrete devices as well as Si ICs were characterized before and after the wafer bonding process and no apparent performance degradation was observed. The reported results have clearly demonstrated the feasibility of the monolithic-like process flow for the integration of GaAs OE devices on fully processed Si ICs. Further improvement of the planarization of the BiCMOS wafer will greatly upgrade the value of the entire process. The authors would like to acknowledge the support provided by the European Commission through the ESPRIT MEL-ARI Project No. 28998. Author D.C. would also like to acknowledge support from the Marie-Curie Training Site Fellowships Program, Contract No. HPMT-GH-00-00177. 1

A. V. Krishnamoorty, K. W. Goosen, L. M. F. Chirovsky, R. G. Rozier, P. Chandramani, W. S. Hobson, S. P. Hui, J. Lopata, J. A. Walker, and L. A. D’Asaro, IEEE Photonics Technol. Lett. 12, 1073 共2000兲. 2 D. A. B. Miller, SPIE Critical Reviews of Optical Engineering, Heterogeneous Integration: Systems on a Chip CR70, 80 共1998兲. 3 S. Matsuo, T. Nakahara, K. Tateno, and T. Kurokawa, IEEE Photonics Technol. Lett. 8, 1507 共1996兲. 4 T. Nakahara, H. Tsuda, K. Tateno, S. Matsuo, and T. Kurokawa, IEEE J. Sel. Top. Quantum Electron. 5, 209 共1999兲. 5 A. Georgakilas, M. Alexe, G. Deligeorgis, D. Cengher, E. Aperathitis, M. Androulidaki, S. Gallis, Z. Hatzopoulos, and G. Halkias, in CAS 2001 Proceedings 共IEEE, Piscataway, NJ, 2001兲, p. 239. 6 W. J. Grande, J. E. Johnson, and C. L. Tang, Appl. Phys. Lett. 57, 2537 共1990兲. 7 G. Halkias, N. Haralabidis, E. D. Kyriakis-Bitzaros, and S. Katsafouros, in Proc. of IEEE International Symposium on Circuits and Systems 2000 共2000兲, p. V417.